Verilog 梯形波

//三角波发生器
`timescale 1ns/10ps
module tri_gen(
	clk,
	res,
	d_out);
input clk,res;
output d_out;

reg[1:0]  state;
reg[8:0] d_out;
reg[7:0] con;
always@(posedge clk or negedge res)
       if(~res) begin
       			state<=0;
       			d_out<=0;
       			con<=0; 	

              end
      else  begin
      	case(state)
      	0:begin d_out<=d_out+1;if(d_out==299) state<=1;end//shangsheng
      	1:begin //bubian
      	if(con==200)begin  state<=2;con<=0;end
      	else con<=con+1;
      	
      	end
      	2:begin d_out<=d_out-1;if(d_out==1) state<=0;end//xiajiang
      	endcase
          
          


          end
endmodule 
module tri_gen_tb;
reg clk,res;
wire[8:0] d_out;

tri_gen tri_gen(
	.clk(clk),
	.res(res),
	.d_out(d_out)
	);

initial begin
        clk<=0;res<=0;

     #17 res<=1;
     #20000 $stop;  

end
always #5 clk=~clk;
endmodule

结果:Verilog 梯形波_第1张图片

 

你可能感兴趣的:(编辑器)