MicroBlaze系列教程(1):AXI_GPIO的使用

文章目录

    • @[toc]
      • 简介
      • 常用函数
      • 使用示例
      • 参考资料
      • 工程下载

本文是Xilinx MicroBlaze系列教程的第1篇文章。

简介

AXI GPIO是基于AXI-lite总线的一个通用输入输出IP核,可配置为一个或两个通道,每个通道32位,每一位可以通过SDK动态配置成输入或输出方向,支持中断请求,配合中断控制器IP可实现外部中断触发。
MicroBlaze系列教程(1):AXI_GPIO的使用_第1张图片
不同系列FPGA所支持的最高频率:
MicroBlaze系列教程(1):AXI_GPIO的使用_第2张图片

官方使用示例:

  • xgpio_intr_tapp_example.c
  • xgpio_example.c
  • xgpio_intr_example.c
  • xgpio_low_level_example.

你可能感兴趣的:(ISE,Vivado,MicroBlaze系列教程,fpga开发)