【Verilog基础】if-elseif语句、多if语句和case语句优先级关系

文章目录

    • 一、if-elseif语句:最开始的if优先级高
    • 二、多if语句:最后的if优先级高
    • 三、case语句:一般无优先级,但也可以有优先级

一、if-elseif语句:最开始的if优先级高

  • 最开始的if优先级最高综合出来的电路离输出最近
always@(*) begin
    z = 0;       
    if(sel1) 
         z 

你可能感兴趣的:(数字IC前端入门,数字IC)