【从零开始学习 UVM】10.1、UVM TLM —— UVM TLM 概述

文章目录

事务级建模(TLM,Transaction Level Modeling),是一种用于构建高度抽象的组件和系统模型的建模风格。在这个方案中,数据被表示为“transaction”(包含随机、协议特定信息的类对象),通过称为TLM接口的特殊端口流入和流出不同的组件。这带来了更高层次的抽象,这在今天的验证环境中非常必要,因为不同协议关联的信号数量很大。如果我们可以将数据和信号变化表示为“事务”(如写操作/读操作),那么理解、调试和验证就会简单得多。

UVM提供了一组事务级通信接口,可用于连接组件,以便数据包可以在它们之间传输。这种设置的好处是将一个组件与其他组件的更改隔离开来,并促进重用和灵活性,因为现在您只需使用具有TLM接口的另一个组件替换即可。

class simple_packet extends uvm_object;
	`uvm_object_utils (simple_packet)

	rand bit [7:0] addr

你可能感兴趣的:(#,从零开始学习,UVM,数字IC,数字IC验证,UVM)