Tessent On-Chip Clock Controller

在现代化设计中,on-chip clock control(OCC)电路通常被用来在测试期间管理时钟,这样的时钟控制器在ATPG process的控制下可以生成slow-speed或者at-speed时钟序列。Tessent OCC时通过Tessent Shell创建一个时钟控制器的实现,被设计用来满足ATPG、Logic BIST、EDT和Low Pin Count Testde scan test。

Tessent OCC Overview

        使用Tessent Shell,可以在设计中生成和插入Tessent OCCs。在ATPG控制下,配置OCC可生成可编辑时钟脉冲,除此之外,可以连接TK/LBIST混合控制器到Tessent OCC上,OCC具有捕获-使能触发和外部时钟控制的能力。

      在这种情况下,Tessent OCC的使用确保满足以下要求:

  • ATPG对每个时钟域进行独立控制,来提高覆盖率,减少pattern的数量,以最小的用户干预实现安全计时。
  • 在捕获期间,在每一个pattern基础上传递正确的脉冲数。
  • 在移位时钟和捕获时钟之间进行精确切换。
  • 在捕获期间使能slow或者fast时钟(功能时钟),为了应用slow和全速pattern(在capture期间,如果进行slow pattern测试,则使能slow_clock)。
  • 在wrapped core内生成Scan-programmable 时钟波形以此来在core level中产生pattern,这些patterns可以重新定位到top level中,并合并以同时测试多个core,而不会在每个core中的时钟控制方式存

你可能感兴趣的:(经验分享)