学习笔记--天线设计与仿真

学习笔记–天线的设计与仿真

文章目录

  • 学习笔记--天线的设计与仿真
    • 1. 天线的定义
      • 1.1 天线的定义
      • 1.2 天线的工作原理
      • 1.3 天线设计的理论基础
      • 1.4 天线的分类
    • 2. 天线的主要考察指标
      • 2.1 方向性
      • 2.2 增益
      • 2.3 轴比
      • 2.4 半功率波瓣宽度
      • 2.5 内部电磁场分布
      • 2.6 天线阻抗
      • 2.7 电压驻波比
      • 2.8 S参数
    • 3. 天线设计及仿真软件介绍和使用(HFSS)
      • 3.1 HFSS的功能
      • 3.2 HFSS的使用
        • 3.2.1 HFSS的安装
          • 一、window下的安装
          • 二、Linux下的安装
        • 3.2.2 HFSS的启动
        • 3.2.3 HFSS 设计流程
    • 4. HFSS 设计实例
      • 4.1 T 形波导的内场分析和优化设计
        • 4.1.1 设计概述
        • 4.1.2 T 形波导内场分析
          • 一、新建工程设置
          • 二、创建T性波导模型
          • 三、分解求解设置
          • 四、查看分析计算结果
          • 五、保存设计并退出HFSS
        • 4.1.3 T 形波导的优化分析
          • 一、新建一个优化设计工程
          • 二、参数扫描分析设置和仿真分析
          • 三、查看参数扫描分析结果
          • 四、优化设计
          • 五、查看优化结果
          • 六、保存并退出 HFSS

1. 天线的定义

1.1 天线的定义

定义:能够有效地向空间某特定方向辐射电磁波或者有效的接收空间某特定方向来的电磁波的装置。

1.2 天线的工作原理

工作原理:根据麦克斯韦的两个旋度方程可知,磁场不仅能由传导电流产生,而且能由随时间变化的电场产生;电场不仅能由电荷产生,而且能由随时间变化的磁场产生。

​ 由于一般情况下电场随时间的变化率是可变的。因此由电场产生的磁场也是随时间变化的,这个变化的磁场又能激发出新的变化电场。

​ 由此可见,随时间变化的电磁场,其电场和磁场永远是相互联系而不能分割的。从而形成同一的电磁场。

​ 天线使用LC回路做谐振回路, 产生变化率不断变化的可变电场。这样,变化的电场和变化的磁场之间相互依赖,相互激发,交替产生,并以一定速度由近及远地在空间辐射出去。

1.3 天线设计的理论基础

​ 电磁场与电磁波、传输线理论、微波技术与天线、高频电子线路

1.4 天线的分类

​ 按用途分类,可分为通信天线、电视天线、雷达天线等;

​ 按工作频段分类,可分为短波天线、超短波天线、微波天线等;

​ 按方向性分类,可分为全向天线、定向天线等;

​ 按外形分类,可分为线状天线、面状天线等;

2. 天线的主要考察指标

2.1 方向性

(等待更新中、、、)

2.2 增益

(等待更新中、、、)

2.3 轴比

(等待更新中、、、)

2.4 半功率波瓣宽度

(等待更新中、、、)

2.5 内部电磁场分布

(等待更新中、、、)

2.6 天线阻抗

(等待更新中、、、)

2.7 电压驻波比

(等待更新中、、、)

2.8 S参数

S S S 参数的全称为Scatter 参数,即散射参数,是在传输线两端有终端的条件下定义出来的。

​ 我们把传输通道作为一个黑盒子看待, S S S 参数描述的是这个黑盒子本身的频域特性。通过 S S S 参数,我们能看到传输通道的几乎全部特性,例如信号的反射、串扰、损耗,都可以从 S S S 参数中找到有用的信息,我们以反射为例了解下 S S S 参数。

​ 对于无源二端口网络,从端口出去的正弦信号一定与进去的正弦信号同频率,下面介绍S参数的简单理解。

​ 根据以前信号反射的介绍,信号在传输过程中会产生入射波和反射波,既有进入端口的信号也有从端口中出来的信号。

a 1 a_{1} a1:从端口1进入的正弦信号 b 1 b_{1} b1:从端口1出来的正弦信号
a 2 a_{2} a2:从端口2进入的正弦信号 b 2 b_{2} b2:从端口2出来的正弦信号

S S S 参数可以表示为:
S 11 = b 1 a 1 , S 21 = b 2 a 1 S 22 = b 2 a 2 , S 12 = b 1 a 2 S_{11} = \frac{b_{1}}{a_{1}}, S_{21} = \frac{b_{2}}{a_{1}}\\ S_{22} = \frac{b_{2}}{a_{2}}, S_{12} = \frac{b_{1}}{a_{2}} S11=a1b1,S21=a1b2S22=a2b2,S12=a2b1
S S S 参数具有对称性,即如果传输通道完全对称则, S 11 = S 22 S_{11}=S_{22} S11=S22 S 11 = S 21 S_{11}=S_{21} S11=S21

S 11 S_{11} S11的具体理解可以见下面示意图, S 11 S_{11} S11等于反射回来的信号 b 1 b_{1} b1除以入射进去的信号 a 1 a_{1} a1,这两个信号的频率一样,幅度和相位有差异, S 11 S_{11} S11这也就是我们前文提到的反射系数,只是表达不同而已。

S S S 参数的 d B dB dB 和幅度 M a g Mag Mag 的计算关系如下:
d B ( S 11 ) = 20 l o g 10 [ M a g ( S 11 ) ] dB(S_{11})=20log_{10}[Mag(S_{11})] dB(S11)=20log10[Mag(S11)]
(等待更新中、、、)

3. 天线设计及仿真软件介绍和使用(HFSS)

​ HFSS 是美国 Ansoft 公司开发的全波三维电磁仿真软件,该软件采用有限元法,计算结 果准确可靠,是业界公认的三维电磁场设计和分析的工业标准。

​ HFSS 采用标准的 Windows 图形用户界面,简洁直观;自动化的设计流程,易学易用; 稳定成熟的自适应网格剖分技术,结果准确。使用 HFSS,用户只需要创建或导入设计模型, 指定模型材料属性,正确分配模型的边界条件和激励,准确定义求解设置,软件便可以计算 输出用户需要的设计结果。

3.1 HFSS的功能

​ 经过二十多年的发展,现今 HFSS 以其无与伦比的仿真精度和可靠性、快捷的仿真速度、 方便易用的操作界面、稳定成熟的自适应网格剖分技术,已经成为三维电磁仿真设计的首选 工具和行业标准,被广泛地应用于航空、航天、电子、半导体、计算机、通信等多个领域, 帮助工程师高效地设计各种微波/高频无源器件。借助于 HFSS,能够有效地降低设计成本, 缩短设计周期,增强企业的竞争力。HFSS 的具体应用包括以下 8 个方面。

​ 1.射频和微波无源器件设计 HFSS 能够快速精确地计算各种射频/微波无源器件的电磁特性,得到 S 参数、传播常数、 电磁特性,优化器件的性能指标,并进行容差分析,帮助工程师们快速完成设计并得到各类 器件的准确电磁特性,包括波导器件、滤波器、耦合器、功率分配/合成器、隔离器、腔体和 铁氧体器件等。

​ 2.天线、天线阵列设计 HFSS 可为天线和天线阵列提供全面的仿真分析和优化设计,精确仿真计算天线的各种 性能,包括二维、三维远场和近场辐射方向图、天线的方向性、增益、轴比、半功率波瓣宽 度、内部电磁场分布、天线阻抗、电压驻波比、S 参数等。

​ 3.高速数字信号完整性分析 随着信号工作频率和信息传输速度的不断提高,互联结构的寄生效应对整个系统的性能 影响已经成为制约设计成功的关键因素。MMIC、RFIC 或高速数字系统需要精确的互联结构 特性分析参数抽取,HFSS 能够自动和精确地提取高速互联结构和版图寄生效应,导出 SPICE 参数模型和 Touchstone 文件(即.snp 格式文件),结合 Ansoft Designer 或其他电路仿真分析 工具去仿真瞬态现象。

​ 4. EMC / EMI 问题分析 电磁兼容和电磁干扰( EMC / EMI )问题具有随机性和多变性的特点,因此,完整的“复 现”一个实际工程中的 EMC/EMI 问题是很难做到的。Ansoft 提供的“自顶向下”的 EMC 解 决方案可以轻松地解决这个问题。HFSS 强大的场后处理功能为设计人员提供丰富的场结果。 整个空间的场分布情况可以以色标图的方式直观地显示出来,让设计人员对系统的场分布全 貌有所认识;进一步通过场计算器(Field Calculator),可以给出电场/磁场强度的最强点,并 能输出详细的场强值和坐标值。

​ 5.电真空器件设计 在电真空器件如行波管、速调管、回旋管设计中,HFSS 本征模求解器结合周期性边界 条件,能够准确地仿真分析器件的色散特性,得到归一化相速与频率的关系以及结构中的电 磁场分布,为这类器件的分析和设计提供了强有力的手段。

​ 6.目标特性研究和 RCS 仿真 雷达散射截面(RCS)的分析预估一直是电磁理论研究的重要课题,当前人们对电大尺 寸复杂目标的 RCS 分析尤为关注。 HFSS 中定义了平面波入射激励,结合辐射边界条件或 PML 边界条件,可以准确地分析器件的 RCS。

​ 7.计算 SAR 比吸收率(SAR)是单位质量的人体组织所吸收的电磁辐射能量,SAR 的大小表明了电 第 1 章 HFSS 概述 − 3 − 磁辐射对人体健康的影响程度。随着信息技术的发展,大众在享受无线通信设备带来的各种 便利之时,也日益关注无线通信终端对人体健康的影响。使用 HFSS 可以准确地计算出指定 位置的局部 SAR 和平均 SAR。

​ 8.光电器件仿真设计 HFSS 的应用频率能够达到光波波段,精确仿真光电器件的特性

3.2 HFSS的使用

以下操作均是基于HFSS 2021进行的。

3.2.1 HFSS的安装

该部分可以参考wx公众号吴川斌的的博客进行安装。

一、window下的安装

(等待更新中、、、)

二、Linux下的安装

(等待更新中、、、)

3.2.2 HFSS的启动

​ HFSS 软件安装完成后,在桌面和程序菜单中都会建有快捷方式。可以通过两种方法来 启动 HFSS 软件:一是双击桌面快捷方式 ,启动 HFSS;二是在 Windows 程序菜单中,单击【Ansys EM Suite 2021 R1】→【Ansys Electronics Desktop 2021 R1】,启动 Ansys Electronics,进入Ansys的Desktop 菜单,然后在工具栏中选择启动【HFSS】。

img-3.2.1

图 3.2.1

​ 此时,我们可以看到 HFSS 的用户界面。

学习笔记--天线设计与仿真_第1张图片

图 3.2.2

​ HFSS 启动后,在 图3.2.2 所示的用户界面主菜单栏单击【Tools】→【Options】→【General Options】命令,可以打开如 图3.2.3 所示的 General Options 对话框。在对话框的 Directories 界面,可以设置 HFSS 工程文件、临时工程文件和材料库文件的存放路径。一般材料库文件保留默认路径不变;HFSS 工程文件、临时工程文件路径用户可以根据需要更改。需要说明的是,HFSS 工程文件、临时工程文件和材料库文件的存放路径不能包含有中文字符,否则在软件的使用过程中有可能会出现错误信息。

学习笔记--天线设计与仿真_第2张图片

图3.2.3

3.2.3 HFSS 设计流程

使用 HFSS 进行电磁分析和高频器件设计的简要流程如图3.2.4 所示。各个步骤简述如下。

(1)启动 HFSS 软件,新建一个设计工程。

(2)选择求解类型。在 HFSS 中有 3 种求解类型:模式驱动求解、终端驱动求解和本征模求解。

(3)创建参数化设计模型。在 HFSS 设计中,创建参数化模型包括:构造出准确的几何模型、指定模型的材料属性以及准确地分配边界条件和端口激励。

(4)求解设置。求解设置包括指定求解频率(软件在该频率下进行自适应网格剖分计算)、收敛误差和网格剖分最大迭代次数等信息;如果需要进行扫频分析,还需要选择扫频类型并指定扫频范围。

(5)运行仿真计算。在 HFSS 中,仿真计算的过程是全自动的。软件根据用户指定的求解设置信息,自动完成仿真计算,无须用户干预。

(6)数据后处理,查看计算结果,包括 S参数、场分布、电流分布、谐振频率、品质因数 Q、天线辐射方向图等。

​ 另外,HFSS 还集成了 Ansoft 公司的 Optimetrics 设计优化模块,可以对设计模型进行参数扫描分析、优化设计、调谐分析、灵敏度分析和统计分析。

学习笔记--天线设计与仿真_第3张图片

图3.2.4

4. HFSS 设计实例

4.1 T 形波导的内场分析和优化设计

4.1.1 设计概述

​ 本章所要分析的器件是图 2.1 所示的一个带有隔片的 T 形波导。端口 1 是信号输入端口,端口 2 和端口 3 是信号输出端口。正对着 端口1 一侧的波导壁上凹进去一块,相当于放置了一个隔片,通过改变隔片的位置可以改变端口 1 到端口 2 和端口 3 的传输功率以及端口 1 的反射功率。

学习笔记--天线设计与仿真_第4张图片

图 4.1.1 T 形波导模型

​ 本章分 3 个小节。第 1 节简要描述设计实例。第 2 节主要分析当隔片位于波导的正中央时,在 8~10 GHz 的工作频段内,波导 3 个端口的 S 参数随着频率变化的关系曲线,同时分析查看在 10 GHz 时波导表面的电场分布。第 3 节主要介绍 HFSS 的参数扫描分析功能和优化设计功能的具体应用。首先,我们利用 HFSS 的参数扫描分析功能分析在 10 GHz 处,波导 3个端口的 S 参数随着隔片位置变量 Offset 变化的关系曲线;然后,使用 HFSS 的优化设计功能,求解出当端口 3 的输出功率是端口 2 的输出功率的两倍时隔片所在的位置。

4.1.2 T 形波导内场分析

一、新建工程设置

(1)运行HFSS并新建工程

​ 打开HFSS,点击菜单栏上的【File】,选择【New】新建工程,点击【保存】,保存工程(可以进行重命名),如图 4.1.2 所示。选择插入一个 【HFSS Design】,如图 4.1.3 所示 。

学习笔记--天线设计与仿真_第5张图片

图 4.1.2

学习笔记--天线设计与仿真_第6张图片

图 4.1.3

​ 可以将工程命名为 Tee.aedt 。然后右键单击 HFSSDesign1,从弹出菜单中选择【Rename】命令项,把设计文件 HFSSDesign1 重新命名为 TeeModal。

(2)选择求解类型

​ 如图 4.1.4 所示,点击【HFSS】→【Solution Type】 ,打开如图 4.1.5 所示的对话框。

学习笔记--天线设计与仿真_第7张图片

图 4.1.4

​ HFSS常用的求解类型有三种,【Driven Modal】(模式驱动求解)、【Eigenmode】(本征模求解)和【Driven Terminal】(终端驱动求解)。

模式驱动求解类型:
以模式为基础计算S参数,根据导波内各模式场的入射功率和反射功率来计算S参数矩阵的解。
终端驱动求解类型
以终端为基础计算多导体传输线端口的S参数;此时,根据传输线终端的电压和电流来计算S参数矩阵的解。
本征模求解类型
本征模求解器主要用于谐振问题的设计分析,可以用于计算谐振结构的谐振频率和谐振频率处对应的场,也可以用于计算谐振腔体的无载Q值。
应用本征模求解时,需要注意以下几方面。
不需要设置激励方式。不能定义辐射边界条件。不能进行扫频分析。不能包含铁氧体材料。只有场解结果,没有S参数求解结果。

学习笔记--天线设计与仿真_第8张图片

图 4.1.5

​ 在这里我们选择【Modal】求解,点击【OK】。

(3)设置单位长度

​ 从主菜单选择【Modeler】→【Units】,打开如图 4.1.6 所示的【Set Model Units】对话框,选择毫米(mm)单位,点击【OK】。此时,就设置好了建模时的默认长度单位,即毫米。

学习笔记--天线设计与仿真_第9张图片

图 4.1.6
二、创建T性波导模型

​ 图 4.1.1 所示的T形波导模型可以分解开来,看做由3个相同的长方体组成,这里首先创建第一个长方体,并设置其材料属性和波端口激励,然后通过复制操作命令创建第二和第三个长方体,最后通过合并命令创建完整的T形波导模型。

(1)创建长方体

​ 从主菜单栏选择【Tools】→【Options】→【General Options】,如图 4.1.7 所示,在 Options 对话框左侧找到 3D Modeler选项,选择 Drawing 选项卡,确认选中 Edit Properties of new primitives 复选框,然后单击【OK】确认。该选项表示使能编辑新基元的属性功能。

学习笔记--天线设计与仿真_第10张图片

图 4.1.7

​ 从主菜单栏选择【Draw】→【Bow】,或者单击Draw工具栏中的长方体图标的选项,进入到创建长方体模型的工作状态,移动鼠标光标到 HFSS 工作界面的右下角状态栏,在状态栏输入长方体的起始坐标为(0,-0.45,0),如图 4.1.8 所示。

学习笔记--天线设计与仿真_第11张图片

图 4.1.8

​ 按下回车键确认后,在状态栏输入长方体的长(dx)、宽(dy)、高(dz)分别为2、0.9、0.4,如图 4.1.9所示。

学习笔记--天线设计与仿真_第12张图片

图 4.1.9

​ 再次按下回车键确认后,会弹出新建长方体的 “Properties” 对话框,即属性对话框,如图 4.1.10 所示;通过属性对话框可以设置和修改物体的位置、尺寸、名称、材料和透明度等属性。这里选择 Attribute(属性)选项卡,将长方体名称项(Name)改为 Tee,长方体材料属性(Material) 保持为真空(vacuum)属性不变;单击 Transparent 项的数值条,在弹出窗口中移动滑动条设置其值为 0.4,以提高长方体的透明度 。

学习笔记--天线设计与仿真_第13张图片

图 4.1.10

​ 设置完成后,单击对话框下方的【OK】按钮,退出属性对话框。此时,即创建好了一个顶点位于(0,−0.45,0),长×宽×高为2×0.9×0.4 的长方体模型。按下快捷键 Ctrl + D, 软件会适合窗口大小全屏显示物体模型;新建的长方体模型如图 4.1.11 所示。

学习笔记--天线设计与仿真_第14张图片

图 4.1.11

(2)设置波端口激励

​ 按【F】键切换到面选择状态,单击选中长方体,或者在工具栏上找到 Select,选择 【Face】 ,如图 4.1.12 所示。

学习笔记--天线设计与仿真_第15张图片

图 4.1.12

​ 单击选中长方体上位于 x = 2 x=2 x=2 处平行于 y z yz yz 面的平面,选中的平面会高亮显示,如图 4.1.13 所示。

学习笔记--天线设计与仿真_第16张图片

图 4.1.13

学习笔记--天线设计与仿真_第17张图片

图 4.1.14

​ 在三维模型窗口内单击右键,如图4.1.14所示,从弹出的快捷菜单中选择 【Assign Excitation】→【Wave port】,打开如图 4.1.15 所示的 波端口设置对话框,在 Name 项输入端口名称 【Port1】,打开 Integration Line 下方的下拉列表框,选择【New Line】选项,设置端口的积分校准线。

学习笔记--天线设计与仿真_第18张图片

图 4.1.15

​ 选中并单击图 4.1.15 所示的【New Line】后,进入端口积分线绘制状态。此时移动鼠标光标到前面所选中并高亮显示的平面下边缘的中间位置,即坐标 ( 2 , 0 , 0 ) (2,0,0) (2,0,0)处,单击鼠标左键,确定积分线的起始点;然后再移动鼠标光标到该平面上边缘的中间位置,即坐标 ( 2 , 0 , 0.4 ) (2,0,0.4) (2,0,0.4)处,再次单击鼠标,确定积分线的终止点,完成积分线设置,如图 4.1.16 和图 4.1.17 所示。

学习笔记--天线设计与仿真_第19张图片

图 4.1.16

学习笔记--天线设计与仿真_第20张图片

图 4.1.17

​ 积分线设置完成后,会自动回到前面打开的波端口设置对话框,对话框余下各项都保持默认设置不变,一直单击【下一步】按钮,直至完成。设置好的波端口如图 4.1.18 所示。

学习笔记--天线设计与仿真_第21张图片

图 4.1.18

(3)复制长方体

​ 从主菜单栏选择 【Tools】→【Options】→【General Options】,打开【General Options】对话框,选择【HFSS】选项卡中的【Boundary Assignment】,选中【Duplicate boundaries / mesh operations with geometry 】复选框,如图 4.1.19 所示,然后单击【OK】按钮。

学习笔记--天线设计与仿真_第22张图片

图 4.1.19

​ 复制长方体创建 T 形波导的第二个臂。展开操作历史树,单击选择 Tee 节点,即可选中刚刚新建的名称为 Tee 的长方体,如图 4.1.20 所示。

学习笔记--天线设计与仿真_第23张图片

图 4.1.20

​ 如图 4.1.21所示从主菜单栏选择 【Edit】→【Duplicate】→【Around Axis】,打开 Duplicate Around Axis 对话框,进行复制物体的操作。对话框中的 Axis 项选择 Z,Angle 项输入 90 deg,Total number 项输入 2,如图 4.1.22所示,单击对话框下方的按钮,即可复制生成一个与 z 轴成 90°夹角,名称为 Tee_1 的长方体。该长方体继承了长方体 Tee 的所有属性,包括尺寸、材料属性、激励端口设置等。

学习笔记--天线设计与仿真_第24张图片

图 4.1.21

学习笔记--天线设计与仿真_第25张图片

图 4.1.22

​ 按下快捷键【 Ctrl+D】,让物体全屏显示,新的模型如图 4.1.23 所示。

学习笔记--天线设计与仿真_第26张图片

图 4.1.23

​ 复制长方体创建 T 形波导的第三个臂。重复上面的复制操作,在 Angle 项输入−90 deg,即可复制生成第三个长方体,复制生成的第三个长方体的默认名称为 Tee_2,Tee_2 是由 Tee 沿 z 轴顺时针旋转 90°复制而成的。按快捷键【Ctrl+D】,让物体适中显示,如图 4.1.24 所示。

学习笔记--天线设计与仿真_第27张图片

图 4.1.24

(4)合并长方体

​ 从主菜单栏选择【Tools】→【Options】→【General Options】,在【3D Modeler 】对话框中选择【Operation】选项卡,确认【Clone tool objects before uniting】复选框未被选中,如图 4.1.25 所示。

学习笔记--天线设计与仿真_第28张图片

图 4.1.25

​ 单击键盘上的【O】键,切换到物体选择状态,单击物体选中第一个长方体 Tee,接着按下【Ctrl】键同时选中第二个长方体 Tee_1 和第三个长方体 Tee_2,确保 3 个长方体都被选中之后;如图 4.1.26 所示,从主菜单栏选择【Modeler】→【Boolean】→【Unite】命令或者单击工具栏的按钮,执行合并操作,将 3 个长方体合并生成一个如图 4.1.27 所示的 T 形物体模型,合并后的物体名称和属性与第一个被选中的物体相同。

学习笔记--天线设计与仿真_第29张图片

图 4.1.26

学习笔记--天线设计与仿真_第30张图片

图 4.1.27

(5)创建隔片

​ 创建一个长方体。从主菜单栏选择【Draw】→【Box】,或者单击工具栏的按钮,进入新建长方体工作状态。移动鼠标光标在三维模型窗口任选一个基准点,在 xy 面展开成长方形,单击确定;再沿着 z 轴移动鼠标光标展开成长方体,单击确定,完成后弹出【 Properties (属性)】对话框。

​ 设置长方体的位置和尺寸。如图 4.1.28,在【 Properties (属性)】对话框的 Command 选项卡界面,Position 栏输入(−0.45in ,Offsets-0.05in ,0in),单位 in,设置长方体的起始点位置(注意:此处 Offsets 是个变量,由于尚未定义,所以数据输入时要带上单位 in ),按回车键确定,此时会弹出如图 4.1.29 所示的 【Add Variable】对话框,要求设置变量 Offsets 的初始值,在 Value 栏处输入“0 in”,然后单击,返回“属性”对话框。

学习笔记--天线设计与仿真_第31张图片

图 4.1.28

学习笔记--天线设计与仿真_第32张图片

图 4.1.29

​ 在 Xsize、Ysize 和 Zsize 栏处分别输入 0.45、0.1 和 0.4,设置长方体的长宽高尺寸。然后,选择【Properties (属性)】对话框的 Attribute 选项卡,在【Name】栏处输入长方体的名称 Septum,单击完成。此时,在 T 形波导内部添加了一个小长方体,如图 4.1.30 所示。

学习笔记--天线设计与仿真_第33张图片

图 4.1.30

​ 相减操作。展开操作历史树,首先选中 Tee,按下 【Ctrl】 键的同时再选中 Septum,确认 Tee 和 Septum 都被选中,如图 4.1.31 所示;之后,从主菜单栏选择【Modeler】→【Boolean】(布尔类型操作)→【Subtract】命令或者单击工具栏的按钮,打开如图 4.1.32 所示的相减操作对话框。确认对话框中 Tee 在 Blank Parts 栏,Septum 在 Tool Parts 栏,表明是从模型 Tee中去掉模型 Septum。单击按钮执行相减操作。相减操作完成后,创建的完整的 T 形波导模型如图 4.1.33 所示。

学习笔记--天线设计与仿真_第34张图片

图 4.1.31

学习笔记--天线设计与仿真_第35张图片

图 4.1.32

学习笔记--天线设计与仿真_第36张图片

图 4.1.33
三、分解求解设置

​ 添加求解设置。在工作界面左侧的工程管理窗口(Project Manager)中,展开 TeeModal 设计,选中【Analysis】节点,单击右键,在弹出的快捷菜单中选中【Add Solution Setup】下的【Advance…】,打开【求解设置】对话框。在该对话框中,Solution Frequency 项输入 10,默认单位为 GHz,其他项都保持默认设置不变,如图 4.1.34 所示,单击【确定】。此时,就在工程管理窗口【Analysis】节点下添加了一个名称为【Setup1】的求解设置项。

学习笔记--天线设计与仿真_第37张图片

图 4.1.34

​ 添加扫频设置。在工程管理窗口中,展开【 Analysis】节点,右键单击前面添加的 Setup1 求解设置项,在弹出菜单中单击【Add Frequency Sweep】,打开如图 4.1.35所示的【Edit Frequency Sweep】对话框。在该对话框中,【Sweep Type】 项选择 Interpolating,【Frequency Sweeps】项起始频率设为 8 G H z 8GHz 8GHz,截止频率设为 10 G H z 10GHz 10GHz。其他项保持默认设置不变,然后单击【确定】按钮完成扫频设置,此时即在 Setup1 节点下添加了一个名称为 Sweep 的扫频设置项,如图 2.27 所示。

学习笔记--天线设计与仿真_第38张图片

图 4.1.35

学习笔记--天线设计与仿真_第39张图片

图 4.1.36

​ 从主菜单栏选择【HFSS】→【Validation Check】,或者单击工具栏的✔样式按钮,弹出如图 4.1.37 所示的设计检查验证窗口,检验设计的完整性和正确性。如果弹出窗口右侧各项都显示✔图标,表示当前设计没有错误,此时单击【Close】结束。接下来就可以运行仿真分析计算了。

学习笔记--天线设计与仿真_第40张图片

图 4.1.37

​ 从主菜单栏选择【HFSS】→【Analyze All】,或者单击工具栏上的按钮,运行仿真分析。在分析求解过程中,工作界面右下方的进度窗口会显示求解进度。求解运算需要几分钟的时间,求解运算完成后,在工作界面左下方的信息管理窗口会显示求解完成信息。求解进度和信息管理窗口如图 4.1.38 所示。

img-4.1.38

图 4.1.38
四、查看分析计算结果

​ 在求解分析完成后,可以使用 HFSS 后处理模块查看各类分析结果。本例中,我们主要查看 S 参数的扫频结果和表面电场的分布。

(1)图形化显示 S 参数计算结果

​ 右键单击工程管理窗口中工程树下的 Results 项,在弹出的菜单中选择【Create Modal Solution Data Report】(创建模态求解数据报表)→【Rectangular Plot】(矩形图),打开如图 4.1.39 所示的【结果报告设置】对话框。

学习笔记--天线设计与仿真_第41张图片

图 4.1.39

​ 在对话框的左侧,【Solution】项选择【Setup1:Sweep1】,【Domain】项选择【Sweep】;在对话框 的右侧,【X】项选择【Freq】,在【Category】(类别)列选择【S Parameter】,在【Quantity】列按下【Ctrl】键的同时选择【S(Port1, Port1)】、【S(Port1, Port2)】、【S(Port1, Port3)】项,在【Function】列选择【mag】,其他保持默认设置不变。然后单击【New Report】按钮,即可绘制出 S 11 、 S 12 、 S 13 S_{11}、S_{12}、S_{13} S11S12S13 幅度随频率变化的曲线,结果如图 4.1.40 所示。

学习笔记--天线设计与仿真_第42张图片

图 4.1.40

​ 绘制生成的结果显示报告会自动添加到工程树的【Results】节点下,其默认名称为【S Parameter Plot 1】。

(2)查看表面电场分布

​ 双击工程树下的设计名称 TeeModal,返回三维模型窗口。在三维模型窗口中单击右键,从右键弹出菜单中选择【Select Mode】命令,选择【Face】,进入面选择状态;单击选中 T 形波导模型的上 表面。选中的模型表面会高亮显示,如图 4.1.41 所示

学习笔记--天线设计与仿真_第43张图片

图 4.1.41

​ 从主菜单栏选择【HFSS】→【Fields】→【Plot Fields】→【E】→【Mag_E】,如图 4.1.42 所示,打开图 4.1.43 所示的【Create Filed Plot】对话框,对话框所有设置保持默认不变, 单击【Done】按钮,此时在选中的 T 形波导上表面会显示出场分布情况;同时,在工程树的【Field Overlays】节点下会自动添加该场分布图,其默认名称为【Mag_E1】,场分布图如图 4.1.44所示。

学习笔记--天线设计与仿真_第44张图片

图 4.1.42

学习笔记--天线设计与仿真_第45张图片

图 4.1.43

学习笔记--天线设计与仿真_第46张图片

图 4.1.44

(3)动态演示场分布图

​ 在工程树的【Mag_E1】项上单击右键,从弹出菜单中选择【Animate】,打开如图 4.1.45 所示的【Create Animation Setup】(动画演示设置)对话框,对话框各项设置保持默认不变,单击【OK】按钮,则可以观察到 T 形波导表面的场分布开始动态变化;同时,在工作界面左上角的还会打开图 4.1.46 所示的【Animation】对话框,通过该对话框可以控制动态显示的进程,包括停止、开始和演示速度等。最后,单击【Animation】对话框上的暂停按钮停止演示。

学习笔记--天线设计与仿真_第47张图片

图 4.1.45

学习笔记--天线设计与仿真_第48张图片

图 4.1.46
五、保存设计并退出HFSS

​ 至此,我们完成了 T 形波导的内场分析工作。单击工具栏的保存按钮,保存设计,然后从主菜单栏选择【File】→【Exit】,退出 HFSS。

4.1.3 T 形波导的优化分析


这一节主要讲解 HFSS 中 Optimetrics 模块的使用。利用 Optimetrics 模块的参数扫描和优化设计功能对 T 形波导的隔片位置进行参数扫描分析和设计优化。参数扫描分析的目的是: 在工作频率为 10GHz 时,查看 T 形波导 3 个端口的能量随着隔片位置变量 Offsets 的变化曲线; 优化设计的目标是:在工作频率为 10GHz 时,求解出隔片的准确位置,使端口 3 的输出功率是端口 2 输出功率的两倍。

一、新建一个优化设计工程

​ (1)从主菜单栏选择【File】→【Open】,或者直接单击工具栏的对应的按钮,打开上一节所保存的工程文件Tee.aedt;然后从主菜单栏选择【File】→【Save As】,把工程文件另存为 OptimTee.aedt。

​ (2)因为本节只在 10GHz 频点上进行参数扫描分析和优化设计,所以首先需要删除在上一节中添加的扫频设置项。展开工程树下的【Analysis】节点,再展开【Analysis】节点下的【Setup1】项,选中【Sweep1】项,然后单击工具栏相应的按钮,删除扫频设置。

二、参数扫描分析设置和仿真分析

​ 使用【Optimetrics】模块的参数扫描分析功能,分析波导端口的输出功率和隔片位置之间的关系。

(1)添加参数扫描变量

​ 如图 4.1.47 所示,选中工程树下的【Optimetrics】节点,单击右键,从弹出菜单栏中选择【Add】→ 【Parametric】,打开【Setup Sweep Analysis】对话框,单击对话框的【Add…】按钮,打开【Add/Edit Sweep】对话框;在【Add/Edit Sweep】对话框中,【Variable】项选择变量【Offsets】作为扫描变量, 扫描类型选择为 Linear Step,Start、Stop、Step 项分别输入 0、1、0.1,单位为英寸(in), 然后单击【Add >>】按钮,设置过程如图 4.1.48所示。单击【OK】按钮,回到【Setup Sweep Analysis】对话框。 选择 Options 选项卡,选中 Save field and mesh 复选框,这样可以保存每个求解变量的场分析结果。
学习笔记--天线设计与仿真_第49张图片

图 4.1.47

学习笔记--天线设计与仿真_第50张图片

图 4.1.48

(2)定义输出变量

(3)参数扫描分析求解

三、查看参数扫描分析结果

(1)创建功率分配随变量 Offsets 变化的关系图

(2)动态演示场分布随变量 Offsets 的变化

四、优化设计
五、查看优化结果

(1)目标函数与运算迭代次数的关系曲线图

(2)查看优化后的场分布图

六、保存并退出 HFSS

你可能感兴趣的:(学习笔记,笔记)