[HDLBits] Module add

You are given a module add16 that performs a 16-bit addition. Instantiate two of them to create a 32-bit adder. One add16 module computes the lower 16 bits of the addition result, while the second add16 module computes the upper 16 bits of the result, after receiving the carry-out from the first adder. Your 32-bit adder does not need to handle carry-in (assume 0) or carry-out (ignored), but the internal modules need to in order to function correctly. (In other words, the add16 module performs 16-bit a + b + cin, while your module performs 32-bit a + b).

Connect the modules together as shown in the diagram below. The provided module add16 has the following declaration:

module add16 ( input[15:0] a, input[15:0] b, input cin, output[15:0] sum, output cout );

[HDLBits] Module add_第1张图片

module top_module(
    input [31:0] a,
    input [31:0] b,
    output [31:0] sum
);
    wire [15:0] in_out;
    reg [15:0]re1,re2,re3;
    add16 add1(a[15:0],b[15:0],15'b0,re1,in_out);
    add16 add2(a[31:16],b[31:16],in_out,re2,re3);
    assign sum={re2,re1};
endmodule

 

你可能感兴趣的:(HDLBits,fpga,verilog,fpga开发)