Sublime Text 4加载Verilog语法环境及Vivado关联Sublime

Sublime Text 4加载Verilog语法环境

1.首先要安装Sublime的灵魂插件Package Control。安装步骤参考官网,有三种

Installation - Package Control

2.安装完成后,在Sublime中打开package control

preferences --> package control,然后输入并找到 Install Package,单击

Sublime Text 4加载Verilog语法环境及Vivado关联Sublime_第1张图片

3.在弹出来的搜索框输入verilog,点击即可安装

Sublime Text 4加载Verilog语法环境及Vivado关联Sublime_第2张图片

4.在View --> Syntax中可以看到Verilog了。

Vivado关联Sublime

1.打开Vivado,setting-->Text Edditer-->Custom Edditer,然后如下图所示:

Sublime Text 4加载Verilog语法环境及Vivado关联Sublime_第3张图片

2.两个中括号不用管他,把前面的内容改为你的Sublime安装路径,后面具体到.exe

然后apply-->OK

至此,Vivado关联Sublime就完成了。

 

你可能感兴趣的:(sublime,text,verilog,fpga)