Mac 配置FPGA开发环境

已转至Notion

设备简介:Macbook Pro 2020 (M1) 8GB 256GB

1. 安装Homebrew

在Homebrew官网 https://brew.sh/ 获取安装命令,拷贝到Terminal中

2. 安装iverilog

https://iverilog.fandom.com/wiki/Installation_Guide#Macintosh_OS_X

3. 安装ctags

https://github.com/universal-ctags/homebrew-universal-ctags

4. VSCode 安装Verilog-hdl, Verilog_Testbench


功能:

例化 CMD+Shift+P -> Verilog: Instantiate Module

语法检查

你可能感兴趣的:(Mac 配置FPGA开发环境)