UVM中的正则匹配

在UVM中,提供了uvm_re_match函数来支持正则匹配,默认为C版本,定义为:

int uvm_re_match(const char * re, const char *str)

参数re为正则表达式,str为要匹配的字符表达式。返回值为0,表示匹配成功,反之失败。

例子:

if(!uvm_re_match("/uvm_test_top..*.monitor/", "uvm_test_top.u5.ux.monitor")) begin
    `uvm_info("UVM_MATCH_SUCCESS", "", UVM_NONE)
end else begin
    `uvm_info("UVM_MATCH_FAIL", "", UVM_NONE)
end

.*将会匹配多个字符串,所以u5.ux将被匹配到,最终输出结果会是"[UVM_MATCH_SUCCESS]"。

在很多情况下,我们可能只需要用一些简单的正则匹配,globs是一种流行简化的正则表达式,它只支持3个元字符(*,+,?)。字符范围([1-5])和点号(.)在正则表达式里是元字符,但在globs里不是元字符。globs正则和常规正则的元字符对应关系如下:

globs regular expression equivalent remark
* .* 0 or more characters
+ .+ 1 or more characters
. exactly one character

但因为uvm_re_match的参数re是接收标准的正则表达式,而不是简化的正则globs。因为UVM也提供了一个函数uvm_glob_to_re来转换,它可以将glob正则表达式转换为真正的正则表达式,函数定义为:

function string uvm_glob_to_re(string glob)

参数glob为要被转换的glob表达式,函数返回为真正的正则表达式,也就是会将*替换成.*,+替换成.+,?替换成.?。由于.号和[]号在globs中不是元字符,会在前面加上\转义符。比如.会替换成\.。

例子:

if(!uvm_re_match(uvm_glob_to_re("uvm_test_top.*.monitor"), "uvm_test_top.u5.ux.monitor"))
    `uvm_info("UVM_MATCH_SUCCESS 1", "", UVM_NONE)
if(!uvm_re_match("/^uvm_test_top..*.monitor$/", "uvm_test_top.u5.ux.monitor"))
    `uvm_info("UVM_MATCH_SUCCESS 2", "", UVM_NONE)

上述第一个if使用了uvm_glob_to_re函数,因此其参数就可以用简化的glob,由uvm_glob_to_re函数去转为真正的正则表达式。第二个if使用了真正的正则表达式。上述两个if是等价的。所以最终两个都会匹配到的。输出为:[UVM_MATCH_SUCCESS 1]和[UVM_MATCH_SUCCESS 2]。

可以将uvm_glob_to_re转换glob表达式为真正的正则表达式结果打印出来看下,如下例子:

$display("A -> %s", uvm_glob_to_re("uvm_test_top.*.monitor"));
$display("B -> %s", uvm_glob_to_re("uvm_test_top.?.monitor"));
$display("C -> %s", uvm_glob_to_re("uvm_test_top.+.monitor"));
$display("D -> %s", uvm_glob_to_re("*monitor*"));

输出结果为:

A -> /^uvm_test_top\..*\.monitor$/
B -> /^uvm_test_top\..\.monitor$/
C -> /^uvm_test_top\..+\.monitor$/
D -> /^.*monitor.*$/

UVM中的正则匹配_第1张图片

你可能感兴趣的:(UVM,正则表达式,UVM,globs,systemverilog)