SV语法学习

包的定义

  • 在大型的验证项目中,很容易出现模块重名的情况。
  • 对于重名的硬件模块我们可以将它们置入到不同编译的库中。
  • 对于重名的软件类、方法等,我们可以将它们置入到不同的包中。
  • 我们可能使用不同的验证IP,我们也无法预测这些类名是否可能重名。
  • 通过包(package)可以将关联的类和方法并入到同一个逻辑集合中。
  • 为了使得可以在多个模块(硬件)或者类(软件)之间共享用户定义的类型,SV添加了包(package)。
  • 用户自定义的类型譬如类、方法、变量、结构体、枚举类等都可以在package...endpackage中定义.
package definitions;
  parameter VERSION = "1.1";
  typedef enum{ADD,SUB,MUL} opcodes_t;
  typedef struct {
    logic [31:0] a,b;
    opcodes_t opcode;
} instruction_t;
  function automatic [31:0] multiplier (input [31:0] a, b);
    return a * b;
  endfunction
endpackage
  • module、interface、class等可以使用包中定义或者声明的内容。
  • 可以通过域的索引符号::直接引用。
definitions::parameter
definitions::instruction_t inst
  • 可以指定索引一些需要的包中定义的类型到指定的域中。
module M
  import definitions:: instruction_t;
  instruction_t inst;
endmodule
  • 通过通配符*来将包中所有的类别导入到指定的域中。
module M
  import definitions :: *
  instruction_t inst;
endmodule
  • 可以通过域的索引符号::直接引用。
module ALU(input definitions::instruction_t IW,
                          input logic clock,
                          output logic [31:0] result);
  always_ff @(posedge clock) begin
    case (IW.opcode)
      definitions::ADD:result = IW.a + IW.b;
      definitions::SUB : result = IW.a - IW.b;
      definitions::MUL :result = 
                                            definitions::multiplier(IW.a, IW.b);
    endcase
  end
endmodule

一般使用这种直接引用类型比较繁琐,是为了特意强调某些类型、变量是来自某个包,避免了重名以及方便代码阅读。

  • 可以指定索引一些需要的包中定义的类型到指定的域中。
module ALU(...);
  import definitions::ADD;
  import definitions::SUB;
  import definitions::MUL;
  import definitions::multiplier;
  always_comb begin
    case(IW.opcode)
      ADD :result = IW.a + IW.b;
      SUB:: result = IW.a - IW.b;
      MUL : result =  multiplier(IW.a, IW.b);
    endcase
  end
endmodule

例如,在ALU模块中先导出了枚举值ADD,SUB,MUL和函数multiplier.
因此就可以在always语句块中就可以直接使用这些类型了。
如果觉得从包中逐一导出比较繁琐:[也可以采用下面的方法]


image.png

示例问题:


image.png
  • 然而这两个package 中同名的类,它们的内容是不相同的,实现的也是不同的功能。
  • 由于我们将这些重名的类归属到不同的package中编译,这样如果要使用不同package中的的同名类,他们只需要注明要使用哪一个package中的。
module mcdf_tb;
  chnl_pkg:: monitor mon1 = new();
  arb_pkg:: monitor mon2 = new();
endmodule

由于类名本身有冲突,这使得在引用类的时候,不得不使用直接索引的方式,这在两个包中导出多个类型时就不方便了。在实际代码中一般建议包中的类型名称带有包名的前缀
因此可以通过通配符索引类型的方式来导入到模块中

image.png

  • 从上述的简单例子来看,package这个容器可以对类型做一个隔离的作用
  • package的意义在于将软件(类、类型、方法等)封装在不同的域中,以此来与全局的域进行隔离。
包与库的区分
  • 库是编译的产物,硬件(module 、interface、program)都会编译到库中,如果不指定编译库的话,会被编译进入默认的库中。
  • 库可以容纳硬件类型,也可以容纳软件类型,例如类、方法和包
  • 包只能容纳软件类型例如类、方法和参数

你可能感兴趣的:(SV语法学习)