windows+modelsim+UVM

1. 下载modelsim软件

下载modelsim,这里用的是modelsim10.4版本。下载地址:https://pan.baidu.com/s/1wnCwlQ2EblCkKHFOM6gEyw 提取码:772l 。
完成下载和安装,在安装文件夹中可以看到uvm-1.1d,这是我们使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,这是已经编译过的uvm库。

2. 编写sim.do文件

#Time: 2016-07-19
#By  : times_poem
  quit -sim
cd E:/learning_app_files/UVM/test
set  UVM_DPI_HOME   D:/Learning_software/Modelsim10_4/uvm-1.1d/win64
if [file exists work] {
    vdel -all
  }
#1.  Create project
vlib work
 
#2.  Compile hello_world_example.sv
vlog +incdir+$UVM_HOME/verilog_src/uvm-1.1d/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  hello_world_example.sv

#3.  Sim work.hello_world_example
vsim -64 -c -sv_lib $UVM_HOME/uvm-1.1d/win64/uvm_dpi  work.hello_world_example
#4. run
run 100

3. 与UVM相关的一些命令

  1. 终端内容输出到文件
    -l vsim.log
  2. objection路径
    +UVM_OBJECTION_TRACE

方法2:http://blog.sina.com.cn/s/blog_49995a750101hsu4.html

你可能感兴趣的:(windows+modelsim+UVM)