vivado IP核RAM ROM使用及测试仿真

vivado IP核RAM ROM使用及测试仿真,完整工程代码,VHDL or verilog

调用IP核进行配置如下:
vivado IP核RAM ROM使用及测试仿真_第1张图片

分别调用RAM 和ROM,使用初始化coe文件来初始化存储器,coe文件如下所示:
vivado IP核RAM ROM使用及测试仿真_第2张图片

工程截图:
vivado IP核RAM ROM使用及测试仿真_第3张图片

仿真截图:
vivado IP核RAM ROM使用及测试仿真_第4张图片

顶层代码:

 
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL

你可能感兴趣的:(D1:VHDL设计,D1:verilog设计,tcp/ip,fpga开发,网络协议)