仿真验证学习笔记-makefile文件中常用符号总结

一、makefile文件概述

在芯片设计中需要用不同的EDA(electric design automatic 电子设计自动化)工具对dut、testcase及相关文件采进行仿真模拟和debug。由于这一过程需要输入大量的命令,因而为避免每次的重复性人力成本浪费,因而将所需要的命令利用宏定义的形式汇总在一个文件中,将这一文件命名为makefile。

二、常用符号及其含义

1. -cm   : 编译命令,如-cm line/toggle/cond/fsm/branch,指的是针对指定覆盖率进行编译。

2. -debug :启动vcs和ucli命令(一般debug的模式有两种,分别为vcs和ucli(命令行)模式)。

3. -doc :启动浏览器以用来显示vcs/vcsi中 HTML文件。

4. -f :指定一个文件,这个文件及其源文件将作为编译的文件来源。

5. -l : 指定一个日志文件,vcs会记录其在编译和运行时的过程。

6. -o :指定一个文件,作为编译时可以控制的文件。

7 -p : 指定一个pli(program language interface 高级语言接口,一般用于连接c语言)的表文件。

8. -s :在开始时停止仿真。

9. -sim_res :定义运行的模拟分辨率, 一般为1ps。

10. -u:将标识符中的所有字符更改为大写。

11. -ucli:在编译时,指定为ucli模式下运行。

12. $dumpvars :dump(转存)所有层次的信号函数。

13. +vcs+lic+vcsi :签出三个vsci许可证可以运行vcs。

14. +vcs+lic+wait:告诉vcs没有许可的网络许可证,只能进行等待。

15. $vcdplusion :vcd(value change dump),用来转存运行中产生的波形的函数。

16. +vcs+$vcdplusion :用来开关启用整个设计中的波形缓存。

17. -debug_pp:在部分模块进行debug。

18. +sva:编译assertion。

 

你可能感兴趣的:(systerm,verilog,集成测试,linux,测试覆盖率,vim)