FPGA的出租车计费器VHDL计价器

名称:出租车计费器/计价器

软件:Quartus

语言:VHDL

要求:

1. 起步费为 5 元,大于1公里或超过2分钟后按里程计费,每公里 3元,停车等待时每 20s收费1 元

2. 可以通过设置起步价和每公里单价

3. 可以控制开始和结束

4. 显示时间、费用、路程

代码下载:出租车计费器VHDL计价器_Verilog/VHDL资源下载

代码网:hdlcode.com

设计文档(文档点击可下载):

1. 工程文件

FPGA的出租车计费器VHDL计价器_第1张图片

2. 程序文件

FPGA的出租车计费器VHDL计价器_第2张图片

3. 程序编译

FPGA的出租车计费器VHDL计价器_第3张图片

4. RTL图

FPGA的出租车计费器VHDL计价器_第4张图片

5. Testbench

FPGA的出租车计费器VHDL计价器_第5张图片

6. 仿真图

整体仿真图

FPGA的出租车计费器VHDL计价器_第6张图片

FPGA的出租车计费器VHDL计价器_第7张图片

FPGA的出租车计费器VHDL计价器_第8张图片

FPGA的出租车计费器VHDL计价器_第9张图片

FPGA的出租车计费器VHDL计价器_第10张图片

显示模块

FPGA的出租车计费器VHDL计价器_第11张图片

设置起步价单价模块

FPGA的出租车计费器VHDL计价器_第12张图片

脉冲产生模块

FPGA的出租车计费器VHDL计价器_第13张图片

状态控制模块

FPGA的出租车计费器VHDL计价器_第14张图片

FPGA的出租车计费器VHDL计价器_第15张图片

设计文档.doc

你可能感兴趣的:(fpga开发)