quartus中采用TCl分配管脚

此文为转载的文章,方法亲测可行,内容准确详细。
原文链接:https://blog.csdn.net/avrmcu1/article/details/12038841

Quartus II软件常用的分配管脚的方法有两种,一是选择菜单**“assignments->pin**
planner”进入管脚分配视图手动分配;第二种方法是利用tcl脚本文件自动分配。
首先介绍一下Quartus II 的qsf文件和tcl文件。qsf(Quartus Settings
File)文
件是工程设置文件,包含了一个Quartus工程的所有约束,包括工程信息、器件信息、引脚约束、编译约束和用于Classic
Timing Analyzer的时序约束。关于管脚定义,时序约束等设置都在里面,可以手动更改。**tcl(tool command language)文件也是Quartus
II的一种配置文件,可用来配置管脚。
如果使用固定的开发板进行开发,每次的工程配置都是一样的,通过
“assignments->pin planner”**手动设置会浪费不少时间。以下介绍一种方法,第一次手动正确配置之后可以用导入的方法。

1、选择Project->Generate Tcl File for Project,指定存放路径,选择保存选项,也可把Include default assignments选上。

2、打开生成的tcl文件,把前面的 Check that the right project is open 的代码用 # 注释掉,不然新建立的工程名要与 用来生成该tcl文件工程的工程名 相同才可以有效导入此tcl文件

3、把步骤1中生成的.tcl文件放到新建的工程文件夹下**(.qsf文件所在目录),选择Tools—>Tcl Scripts**,选择这个**.tcl文件并点击Run**,就可以自动得到新的**.qsf**文件。
提示:可以通过修改xx.tcl文件中管脚的分配内容修改管脚号。

你可能感兴趣的:(quartus中采用TCl分配管脚)