design compiler——DC

Library

1、technology lib

由代工厂提供,不同代工厂、不同工艺制程而不同,涵盖设计规则约束、运行环境设置、线载模型等等。

需要以*.db的格式读入dc。(但有时提供的事源代码形式的,可以使用dc将骑转化为db格式)

2、target lib:*.db

dc优化过程中,被映射到的tech lib称为target lib。即这部分包含内容是生成网表过程中用到的cells。该部分信息dc保存在local_link_library 属性中。

应该只包括映射设计的标准库单元,不应该包括DW lib和pad、memory等类似宏库,是link_library的子库。

设置方式:set target_library {“your_library.db”}

3、link lib:*.db

指的是用来实现cell引用的被映射到的tech lib。eg:在RTL源代码中,直接调用库单元,使用的就是link lib。

包含:local_link_library和link_library(即系统link lib);

link_library查找按从左往右的方式查询,一旦查到满足要求的将不在继续

位于link_library设置list最左侧的的tech lib作为主库,维护一些默认值和设置(设计规则约束、运行环境设置、线载模型等&#x

你可能感兴趣的:(EDA工具,综合,DC,design,compiler,DFT,PT)