Riviera-PRO 2022.04 最新完美

Aldec Riviera-PRO 2022.04还包括SystemVerilog和VHDL-2019仿真增强功能。对于 SystemVerilog,增强功能包括对四态积分打包联合、双状态积分打包向量、结构和联合以及固定大小的解包向量、结构和联合的扩展支持。VHDL-2019 的增强功能包括对受保护类型的数组和记录的支持。

Riviera-Pro版本2022.04中的调试和性能增强包括支持Verilog编译器中的新覆盖编译指示,随机化性能增强(针对随机约束的特定情况)以及提高模型绘制到Riviera-Pro的UVM图形窗口中的速度。

Riviera-Pro为创建FPGA和SoC器件的工程师提供验证。该工具通过结合高性能仿真引擎、不同抽象级别的高级调试功能以及对最新语言和验证库标准的支持,实现了测试平台的生产力、可重用性和自动化。

Aldec成立于1984年。这家电子设计验证公司提供专利技术套件,包括:RTL设计、RTL模拟器、硬件辅助验证、SoC和ASIC原型设计、设计规则检查、CDC验证、IP核、高性能计算平台、嵌入式开发系统、需求生命周期管理、DO-254功能验证和军事/航空航天解决方案。

首页: https://www.aldec.com

语言: 英语

文件大小: 583 Mb

操作系统: Windows 7/8.x/10.x/RHEL 5.x-7.x 64Bit

PreVersion: Aldec Riviera-PRO 2021.04 Win/Linux

联系 [email protected]

你可能感兴趣的:(算法)