Verilog Testbench获取时钟沿

Verilog Testbench获取时钟沿

@(posedge clk);
// 延迟10个周期
repeat(10) @(posedge clk);

你可能感兴趣的:(FPGA,fpga,fpga开发)