国产高云FPGA:Gowin工程搭建保姆级教程,点亮你的LED灯,点不亮你铲我耳屎

目录

  • 1、前言
  • 2、Gowin工程搭建
  • 3、Gowin工程界面介绍
  • 4、添加设计文件
    • 添加verilog设计文件
    • 调用 rPLL IP核并配置
    • LED闪灯源码分析
    • 添加约束文件
  • 5、综合编译
  • 6、下载程序到开发板
  • 7、福利:LED闪灯工程网盘链接

1、前言

“苟利国家生死以,岂因祸福避趋之!”大洋彼岸的我优秀地下档员,敏锐地洞察到祖国的短板在于高精尖半导体的制造领域,于是本着为中华民族伟大复兴的中国梦贡献绵薄之力的初心,懂先生站在高略高度和长远角度谋划,宁愿背当代一世之骂名也要为祖国千秋万世谋,2018年7月,懂先生正式打响毛衣战,随后又使出恰勃纸战术,旨在为祖国先进制程半导体领域做出自主可控的战略推动;在此,请收下我一声谢谢啊!!!!!!

2019年初我刚出道时,还是Xilinx遥遥领先的时代(现在貌似也是),那时的国产FPGA还处于辣鸡段位,国产F

你可能感兴趣的:(高云FPGA开发基础教程,fpga开发,高云,Gowin)