Altera_FPGA时序约束及设计优化

前方高能,全程干货。来自Altera官方培训资料


----------------------------------------------------------------------------------------------------------------------------------------------------------

一、Altera_FPGA设计优化

 时序优化(speed)资源优化(area)功耗优化(power

Altera_FPGA时序约束及设计优化_第1张图片

1.优化助手DSE:

 Altera_FPGA时序约束及设计优化_第2张图片

Open:Tools-Lanch Design Space Explorer II

On the Project page, open the project and revision you want to compile and explore.

On the Setup page, under Compilation Type, click Local.

On  the Exploration page, under Exploration Points, click Single compilation or Design Exploration.

Set other compilation or exploration parameters, as needed.

Click Start.

Altera_FPGA时序约束及设计优化_第3张图片

图1.选择优化模式

2.Optimization Advisor:

   Open:Tools-Advisors-Timing Optimization Advisor/

Resource Optimization Advisor/Power Optimization Advisor

高亮的黄色感叹号部分表示可以优化设置,有些选项可直接选择Correct the Settings设定为推荐值,如功耗优化(Power Optimization Advisor)中为减小动态功耗,设定综合器和布局布线器进行Power-Driven设计:

Altera_FPGA时序约束及设计优化_第4张图片

2.功耗优化按Advisor推荐设定

点击Correct the Settings之后,会发现Assignments-Settings中相关选项进行了更改:

 Altera_FPGA时序约束及设计优化_第5张图片

 3.综合时动态功耗优化选项自动被设定

 

  以此类推,根据需求优化其他时序、功耗或面积选项。

 

3.功耗分析工具EPE (略)

 

 Altera_FPGA时序约束及设计优化_第6张图片

图4.EPE进行功耗分析

 

二、时序约束


1.TimeQuest基础

 Altera_FPGA时序约束及设计优化_第7张图片

图5.TimeQuest界面

 

 Altera_FPGA时序约束及设计优化_第8张图片

图6.TimeQuest创建SDC约束文件

 

 Altera_FPGA时序约束及设计优化_第9张图片

7.TimeQuest使用流程


2.编写时序约束(*.sdc)

使用GUI自动生成相关命令:Constrains-Create Clock/..SDC约束共包括四类时序约束:Clocks、I/O、False paths、Multicycle paths

(1)Clocks Constraints

 Altera_FPGA时序约束及设计优化_第10张图片

 

图8.时钟约束类型

 Altera_FPGA时序约束及设计优化_第11张图片

 

 Altera_FPGA时序约束及设计优化_第12张图片

图9.Create Clock by GUI

 Altera_FPGA时序约束及设计优化_第13张图片

图10.Create Generated Clock by GUI

 Altera_FPGA时序约束及设计优化_第14张图片

图11.Clock Latency by GUI

 Altera_FPGA时序约束及设计优化_第15张图片

图12.Clock Uncertainty by GUI

 Altera_FPGA时序约束及设计优化_第16张图片

图13.Unconstrained Path Report

 

(2) I/O Constraints

 Altera_FPGA时序约束及设计优化_第17张图片

图14.IO Constraints类型

 Altera_FPGA时序约束及设计优化_第18张图片

图15.组合逻辑IOMaximum Delay by GUI

 Altera_FPGA时序约束及设计优化_第19张图片

图16.同步时序电路IO Delay by GUI

 

 Altera_FPGA时序约束及设计优化_第20张图片

Altera_FPGA时序约束及设计优化_第21张图片

图17.检查SDC报告

 

(3)False paths Constraints(略)

 

(4)Multicycle paths Constraints(略)


你可能感兴趣的:(FPGA,Altera,fpga,优化,时序约束,设计)