vivado产生报告阅读分析22

Advanced ”选项卡
Advanced 高级 选项卡如下图所示。
vivado产生报告阅读分析22_第1张图片
在“ Advanced ”选项卡中提供了以下字段
• “ Report 报告 ): 选中“ Advanced ”选项卡中的“ Cells to Analyze 待分析的单元 选项即可指定要使用的分层单元。单击右侧“Browse 浏览 按钮即可打开搜索对话框 并查找单元对象。该选项用于将时序和复杂性分析限制在报告范围内。
• “ File Output 文件输出 ): 生成 GUI 报告 并将结果写入文件。请选择“ Export to file 导出到文件 并在右侧字段中指定文件名。单击“Browse 浏览 按钮可选择不同目录。
等效的 Tcl 选项 -file
选择“ Overwrite 覆盖 选项 即可用新的分析结果覆盖现有文件。
选择“ Append 追加 可追加新结果。
等效的 Tcl 选项 -append
• “ Miscellaneous 其他 ): Miscellaneous ”字段提供了在命令执行期间忽略命令错误和暂挂消息限制的选项。 等效的 Tcl 选项 -quiet/-verbose
Timer Settings ”选项卡
在下图所示“ Timer Settings 定时器设置 选项卡中 包含下列字段和选项。
• “ Interconnect ”选项
• “ Multi-Corner Configuration ”字段
• “ Disable Flight Delays ”选项
vivado产生报告阅读分析22_第2张图片
Interconnect ”选项
您可选择时序路径分析中使用的互连模型
• “ actual 实际值 ): 此模型为已布线的设计提供最准确的延迟。
• “ estimated 估算值 ): 此模型包含基于设计布局和连接到器件 实现前 的方式所估算的互连延迟。即使设计已完全布线, 仍可指定估算延迟。
• “ none ): 时序分析中不包含互连延迟。仅应用逻辑延迟。这有助于识别路径中逻辑延迟超出或者占用大量时序路径要求的区域。
等效的 Tcl 命令
set_delay_model -interconnect
Multi-Corner Configuration ”字段
您可使用该字段中的可用选项来限制由 Vivado 时序分析引擎执行的默认四角分析 如果适用
等效的 Tcl 命令 config_timing_corners -corner -delay_type
Disable Flight Delays ”选项
您可选择该选项以禁用向 I/O 时序计算添加封装延迟。
等效的 Tcl 命令 config_timing_analysis -disable_flight_delays
仅限命令行使用的选项
以下时序选项仅限通过 Tcl 命令行使用 可配合 -name 选项一起使用以生成 GUI 报告。
csv .csv 用于搭配时序路径选项生成 CSV 文件。对大量路径进行排序时 该选项很有用。
-routed_vs_estimated 该选项用于报告相同路径的估算延迟与实际布线延迟的并列对比结果。报告中的“Timing Category 时序类别 中的某些字段带有“ Estimated ”或“ Routed ”前缀以便比较。
-return_timing_paths 返回时序路径对象 以支持对位于指定时钟域内的特定逻辑层次的路径进行进一步分析。必须同时搭配 -end_point_clock 选项和 -logic_levels 选项使用。
-end_point_clock 用于将逻辑层次分布报告部分限制为具有指定端点时钟的时序路径。
-logic_levels 该选项可限制逻辑层次发送到逻辑层次直方图算法的时序路径。只能指定单个值。
-min_level 该选项可将所含逻辑级数或布线数量小于指定值的所有时序路径都组合到单个分箱内。指定 值后 必须至少为其传递值 1
-max_level 该选项可将所含逻辑级数或布线数量大于指定值的所有时序路径都组合到单个分箱内。指定 值后 该值必须大于 -min_level 的值。
以下复杂性选项仅限通过命令行使用 可配合 -name 选项一起使用以生成 GUI 报告。
-bounding_boxes 该选项用于执行指定边界框的复杂性分析。例如
-bounding_boxes { "CLE_M_X21Y239:CLEL_R_X28Y254"
"CLEL_R_X18Y171:CLE_M_X26Y186" }

你可能感兴趣的:(fpga开发)