Verilog快速入门(7)—— 4位数值比较器电路

Verilog快速入门

(1) 四选一多路器
(2)异步复位的串联T触发器
(3)奇偶校验
(4)移位运算与乘法
(5)位拆分与运算
(6)使用子模块实现三输入数的大小比较
(7)4位数值比较器电路
(8)4bit超前进位加法器电路
(9)优先编码器电路①
(10)用优先编码器①实现键盘编码电路
(11)8线-3线优先编码器
(12)使用8线-3线优先编码器实现16线-4线优先编码器
(13)用3-8译码器实现全减器
(14)使用3-8译码器①实现逻辑函数
(15)数据选择器实现逻辑函数
(16)状态机
(17)ROM的简单实现
(18)边沿检测


4位数值比较器电路

  • Verilog快速入门
  • 一、题目描述
  • 二、解析与代码


一、题目描述

某4位数值比较器的功能表如下。
请用Verilog语言采用门级描述方式,实现此4位数值比较器
Verilog快速入门(7)—— 4位数值比较器电路_第1张图片
输入描述:
input [3:0] A ,
input [3:0] B

输出描述:
output wire Y2 , //A>B
output wire Y1 , //A=B
output wire Y0 //A

二、解析与代码

`timescale 1ns/1ns

module comparator_4(
	input		[3:0]       A   	,
	input	   [3:0]		B   	,
 
 	output	 wire		Y2    , //A>B
	output   wire        Y1    , //A=B
    output   wire        Y0      //A
);

assign Y2 = (A[3]>B[3])||((A[3]==B[3])&&(A[2]>B[2]))||((A[3]==B[3])&&(A[2]==B[2])&&(A[1]>B[1]))||((A[3]==B[3])&&(A[2]==B[2])&&(A[1]==B[1])&&(A[0]>B[0]));

assign Y1 = (A[3]==B[3])&&(A[2]==B[2])&&(A[1]==B[1])&&(A[0]==B[0]);
assign Y0 = ~(Y2^Y1);
endmodule

你可能感兴趣的:(fpga开发)