vivado-vscode 新手使用说明 - verilog

vivado联合vscode使用

vivado下打开
settings -> text editor -> 修改 current editor 为 custom editor definition
路径为vscode的安装路径 + “英文空格” + -g [file name]:[line number]。
vivado-vscode 新手使用说明 - verilog_第1张图片

vscode 常用插件

下图所示为常用插件,可根据需要安装
vivado-vscode 新手使用说明 - verilog_第2张图片

vscode 生成例化 / testbench文件

安装插件verilog-testbench
注意:需要安装python 和 chatdet 3.0.4
安装使用教程:https://zhuanlan.zhihu.com/p/346330957

vscode自动纠错

1、安装插件 Verilog-HDL/SystemVerilog/Bluespec SystemVerilo
2、将vivado安装目录下的xvlog路径添加到系统环境变量里面
vivado-vscode 新手使用说明 - verilog_第3张图片

3、需要打开cmd输入xvlog – version 查看是否添加成功,如果没成功,关机重启
vivado-vscode 新手使用说明 - verilog_第4张图片

4、设置vscode:vivado-vscode 新手使用说明 - verilog_第5张图片

vscode 格式化文档

插件terosHDL和verilog - format 均可以实现。
在两个都安装的情况下,在要格式化的文档内部,右键点击 - 使用…格式化文档,可以设置默认使用插件。
vivado-vscode 新手使用说明 - verilog_第6张图片
使用verilog - format 插件时有一些注意事项:
1、在vscode里面安装好verilog - format 插件后,还需要手动配置一些东西,下载链接里的verilog-format-master文件
链接:https://pan.baidu.com/s/1gdoAaAIwc4wWf3u1cAB1Jg
提取码:vmb8
2、找到verilog - format 插件位置,下图中两个文件需要从步骤1下载的文件中获得,这两个文件的位置在图2
vivado-vscode 新手使用说明 - verilog_第7张图片vivado-vscode 新手使用说明 - verilog_第8张图片

3、配置这两个路径:verilog-format.exe和.verilog-format.properties的路径
C:\Users\FPGA.vscode\extensions\ericsonj.verilogformat-1.0.1\verilog-format.exe
C:\Users\FPGA.vscode\extensions\ericsonj.verilogformat-1.0.1\verilog.verilog-format.properties
vivado-vscode 新手使用说明 - verilog_第9张图片4、如果电脑没有jave,第一次使用格式化文档会跳转java下载界面,下载安装就行。
5、如果格式化文档不起作用,修改目录下的package.json文件里面第31行,setting改为settings
说明见链接:https://github.com/ericsonj/vscode-verilogformar/issues/1
vivado-vscode 新手使用说明 - verilog_第10张图片

6、在文件 .verilog-format.properties 里修改格式风格。

你可能感兴趣的:(Vivado,vscode,fpga开发,ide)