[Verilog] 加法器实现

[Verilog] 加法器实现_第1张图片

1. 4位的加法器

先来一个最基本的的Verilog加法器

设计代码

module adder_4bit (input [3:0] a, b, output [3:0] sum, output carry);
    assign 

你可能感兴趣的:(元带你学:,Verilog,fpga开发)