[verilog] 免费开源的 verilog 仿真工具:icarus verilog

主页: 元存储博客

文章目录

    • 前言
    • 1. 下载
    • 2. 安装
    • 3. 安装成功?
    • 4. 使用
    • 总结

前言

知名的Verilog仿真工具主要为三大主流的产品:mentor的modelsim/questasim,candence的NC-verilog,synopsys的VCS。但都不是免费的,所以我一个都不讲。

作为verilog入门学习的仿真工具,有时候只是想调试一个简单的module,怎么做到一个子都不花呢?我帮你找了很久,介绍一款超级轻量的开源verilog仿真工具— icarus verilog。

1. 下载

官网下载链接:http://bleyer.org/icarus/

2. 安装

安装参考: https://zhuanlan.zhihu.com/p/436976157

安装时候, 如果没勾选创建桌面快捷方式

你可能感兴趣的:(元带你学:,Verilog,Verilog,fpga开发)