使用Verdi分析波形的一些小技巧(2)

本文继续整理一些使用Verdi分析波形的小技巧。前一篇传送门:使用Verdi或DVE分析波形的一些小技巧

文章目录

  • 设置主波形
  • 检索波形
  • 查看状态机
  • 添加计数信号
  • 网格统计
  • 比较波形
  • 常用快捷键

设置主波形

在Verdi中有时会打开多个波形文件,此时在src窗口使用"Ctrl + w"快捷键添加信号波形时,会添加到主波形。如果需要设置波形文件为主波形,以18版本Verdi为例,可以点击波形nWave窗口菜单上最右侧的"P"图标,然后就能直接添加波形了。
在这里插入图片描述

检索波形

在nWave中点击"By:"选项右侧的箭头,可以按任意值/上升沿/下降沿/指定值等方式检索信号。
在选中信号后,可以按N/n实现向前/后搜索。
使用Verdi分析波形的一些小技巧(2)_第1张图片

查看状态机

状态机默认用数值显示,如果要用常量名显示,可以在src窗口右键信号 -> New Schematic -> Fan-out
使用Verdi分析波形的一些小技巧(2)_第2张图片
或选中Verdi菜单Tools -> Extract Interactive FSM,选择First Stage仅展开目前所指定的FSM state,All Stages展开所有的FSM state。
还可以直接点击工具栏上的New Schematic图标。
使用Verdi分析波形的一些小技巧(2)_第3张图片
如果需要移除常量名显示,可以右键信号量,选择Remove Alias,并可以右键选择Apply Alias / Apply Local Alias恢复常量名显示。
使用Verdi分析波形的一些小技巧(2)_第4张图片

添加计数信号

如果需要给信号计数,除了上一篇里讲到的Signal Event Report方法,也可以在nWave里右键选中信号 -> Add/Remove -> Add Counter Signal by,可以选择边沿创建一个计数信号。
使用Verdi分析波形的一些小技巧(2)_第5张图片

网格统计

另外一种直观的信号统计方法是在nWave里选中信号 -> View -> Grid Options,然后勾选"Grid on"和"Grid Count with Start Number",就可以在波形上根据信号边沿绘制网格并显示计数。
使用Verdi分析波形的一些小技巧(2)_第6张图片

比较波形

如果需要比较信号,可以在nWave里先选中指定信号 -> Tools -> Waveform Compare,即可以分析信号的差异。
使用Verdi分析波形的一些小技巧(2)_第7张图片

常用快捷键

这里整理一些个人比较常用的Verdi快捷键。

Shortcuts Function
h 显示/关闭信号hierarchy路径
z 波形缩小
Z 波形放大
yy 将光标显示在屏幕中央
f 显示全部波形
r 恢复型号列表
g 添加信号
c/t 改变/快速改变信号颜色

你可能感兴趣的:(IC验证技术,芯片)