SystemVerilog语言简介

 

1. 接口(Interface)
% i  ]: q. /% z& f
+ Z7 ]- X4 E  y/ Q1 o$ F6 m6 ~Verilog模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个设计中的许多模块往往具有相同的端口定义,在Verilog中,我们必须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。
  {8 E: R7 I2 a4 }5 N. w8 Y9 x& P- H4 Q
SystemVerilog提供了一个新的、高层抽象的模块连接,这个连接被称为接口(Interface)。接口在关键字interface和endinterface之间定义,它独立于模块。接口在模块中就像一个单一的端口一样使用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以将PCI总线的所有信号绑定在一起组成一个接口。通过使用接口,我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更改每一个模块。下面是一个接口的使用实例:8 y5 c! j7 v+ P( o

  }. P7 A$ [1 Dinterface chip_bus; // 定义接口
( ^( ?) w4 G( p( h
& c3 t, D4 R2 P- H6 Swire read_request, read_grant;
* I' E* V9 S5 f  F3 t$ b+ r6 ?' ^
' l& W) c/ u; z7 A: o, r* o0 Z8 }wire [7:0] address, data;* o& y5 o6 n  v

  u" b" ^7 A8 A, A; A3 u7 u5 /endinterface: chip_bus
. /0 {7 C9 u9 W3 a. z: _7 C" f. c8 ?' b4 ^6 m8 u
module RAM (chip_bus io, // 使用接口) k1 P  Y( e: V8 z0 x+ r2 A2 N

( l( N3 L9 [' ?" Y; vinput clk);# v6 K) i* m7 d! v

2 e+ u- L4 L% [+ W' V- Y! m& n// 可以使用io.read_request引用接口中的一个信号
1 o% ?* A& I2 ]6 |. V$ I" _8 ?; j5 m: D) i% ?- Q# O1 G
endmodule7 ^9 ~! ?8 G" M0 P; l! N2 s
! Y( x9 N" ]& i% V
module CPU(chip_bus io, input clk);
4 i4 R6 u/ C/ b3 w" x- v& ?
/ |" G9 W6 S# b...
2 B; E! d1 }* r8 t# H8 D9 g8 E
% J7 ^8 p$ d( Jendmodule1 h- G. V1 M+ `! J7 |+ x" a5 U

7 V, x0 }: v% P' g; ]& l- gmodule top;
" |. @% L0 E2 ^0 V* G5 V
5 {4 Q& m1 a! s# K& r( G4 G! R* q  [0 preg clk = 0;3 N7 O6 H1 R0 I& s

: i$ B% N& p: r7 [3 E1 h3 R0 Nchip_bus a; // 实例接口% G7 K* y, G. c! E0 h& ?8 n

4 e5 b$ f9 P+ k- X// 将接口连接到模块实例
0 e4 /+ J. D$ j4 B0 O' m* j7 P; [
RAM mem(a, clk);: Q; Q9 w3 P) m7 ?+ y- p
$ [# u7 l/ v/ D# b: Z
CPU cpu(a, clk);, m* z  j7 A+ s8 n3 b* x& T
0 h. w4 `2 k1 S: D' {
endmodule+ O# Z. r9 q( {& t8 x1 e1 R
# P! F' F5 T( n3 ?; P  N, n
! D% s0 @0 y) _3 J8 C. ~

( k1 P- W1 g6 o# j* r+ g8 l0 z5 k) ^7 M. j( N0 a9 c

2 s, G, o$ /7 ?! m+ e
+ Y4 V. Q. t+ O  N' t+ k4 Q# u5 r' x% F# @6 |1 u8 m* E+ b
实际上,SystemVerilog的接口不仅仅可以表示信号的绑定和互连。由于SystemVerilog的接口中可以包含参数、常量、变量、结构、函数、任务、initial块、always块以及连续赋值语句,所以SystemVerilog的接口还可以包含内建的协议检查以及被使用该接口的模块所共用的功能。
, t$ d: U. m* H3 j3 r9 l6 _' w. c" ]& ?2 d8 X8 F) L- c
2. 全局声明和语句/ j4 k' J" S$ f3 ^
) a& [7 /9 y% F" {
在Verilog中,除了一个模块可以作为模块实例引用其他模块外,并不存在一个全局空间。另外,Verilog允许任意数目的顶层模块,因此会产生毫无关联的层次树。
$ N' x8 U$ ?; v6 Z0 Q7 ^+ G0 `
SystemVeriog增加了一个被称为$root的隐含的顶级层次。任何在模块边界之外的声明和语句都存在于$root空间中。所有的模块,无论它处于哪一个设计层次,都可以引用$root中声明的名字。这样,如果某些变量、函数或其它信息被设计中的所有模块共享,那么我们就可以将它们作为全局声明和语句。全局声明和语句的一个使用实例如下:
8 `% /" o1 K4 f7 I, i/ K% P5 Z* ?: o3 n. /  j: L+ c! y+ Z
reg error _flag; // 全局变量3 j4 @  D" {: E( H  @
; ?8 q/ b9 h4 ]
function compare (...); // 全局函数. v9 `- n4 @0 H) @5 t

. T5 j0 s* F7 ^4 V# F" X7 Qalways @(error_flag) // 全局语句; x0 ]! z* E0 j" _: p( w

* ]5 R; @) A" k0 |5 i...- d: A! A, G' w3 F& M/ z. a, d# [

3 c: P5 `( R- X) R( K0 Z2 V9 @* Rmodule test;
( p& a% W# _' @& D) d1 Z5 d' l+ i: S9 ]3 p& @2 {* y
chip1 u1 (...)
7 u  F6 W) }; ~% Q. ~1 J$ Z1 ~6 Y. Z7 b  @
endmodule2 G+ w" g7 ~7 H' z! Z0 Q0 U

, j4 j9 C! m7 A% f9 l5 zmodule chip1 (...);$ x1 R+ o# ^4 U1 k3 ^. Y7 E! x

6 u& s7 V1 k& s, @4 {# CFSM u2 (...);
: V6 M3 I% r2 j* X. /# x
, f, C' _0 H+ P1 ralways @(data)( [& z2 X' S, f

, R0 Y+ d1 ~8 x5 ]: Q% werror_flag = compare(data, expected);
4 P: ?% ]) R/ s# I9 w6 D5 {
: ~7 ^* [9 Y4 Y: s5 [( A; Uendmodule& G; h5 l" p/ N' d) O( ]! v

' ]% f) @' G( v. R' w3 w$ H/ s8 qmodule FSM (...);- U! c8 h* M9 I: l9 h' H6 g4 ]

3 u/ ]3 G0 n$ b' @6 w...
) e3 m; h5 E# V% X) V
& e% Y" t: E. J, i  l5 w6 R9 |always @(state)
. q4 _! `+ J1 H$ _3 x* z; V2 t8 f3 s+ /# r
error_flag = compare(state, expected);
5 k9 t# {+ h$ O
/ A% r2 }: x! T8 U1 S( }, Dendmodule
- U% F; n: k9 L/ n3 ]7 n* |$ w0 m
' |* m+ i" d" B. J4 A5 `; r% ?  E
6 x8 y5 G9 {: l0 J) e& F/ R
1 ?8 g' V1 t# d, ?. ^$ u, I# t; Q& r, h4 y( ~
3. 时间单位和精度
# h5 o, R& O( i$ u' ~# x0 @) f# R8 C, p  b' /( O! v9 J
在Verilog中,表示时间的值使用一个数来表示,而不带有任何时间单位。例如:
) u" V  o3 H! q: M+ U7 P3 H- w+ K; h6 i0 L6 u- {8 i
forever #5 clock = ~clock;- u' M; r; T8 V# q' d7 c

1 s1 w( K9 B* e& [从这一句中我们无法判断5代表的是5ns? 5ps? 还是其他。Verilog的时间单位和精度是作为每一个模块的属性,并使用编译器指令`timescale来设置。使用这种方法具有固有的缺陷,因为编译器指令的执行依赖于源代码的编译顺序,编译器总是将它遇到的最后一个`timescale设置的时间单位和精度作为之后的标准。那么,假如有些模块之前没有使用`timescale设置时间单位和精度,这就有可能出现同一个源代码的不同仿真会出现不同结果的情况。5 R1 l9 ]* ~: I

; q" x$ b+ a( E8 T; N; ~SystemVerilog为了控制时间单位加入了两个重要的增强。首先,时间值可以显式地指定一个单位。时间单位可以是s、ms、ns、ps或fs。时间单位作为时间值的后缀出现。例如:6 d3 T) l! i3 l5 {! t0 v
$ U7 g# ?  |  z, m* ~
forever #5ns clock = ~clock;
5 ^5 S+ J- Z8 u+ I$ k
! L7 W( w2 D' S' /6 R" y  y1 e其次,SystemVerilog允许使用新的关键字(timeunits和timeprecision)来指定时间单位和精度。这些声明可以在任何模块中指定,同时也可以在$root空间中全局指定。时间单位和精度必须是10的幂,范围可以从s到fs。例如:, r6 /8 R7 J9 E2 g  I: ]! Q

5 p4 m! d1 K6 h" L0 }+ otimeunits 1ns;! I9 A  x  O: R. `; q) O

& ?7 _- X$ r8 D' `6 `timeprecision 10ps;
6 T0 w8 `4 K. N, ?% I
. Y: j9 N2 C. {1 U. a; m4 T6 m4. 抽象数据类型
$ E7 A: |  u0 j! K$ B' T5 q% D4 I. g) U8 a4 /+ U( H+ o
Verilog提供了面向底层硬件的线网、寄存器和变量数据类型。这些类型代表了4态逻辑值,通常用来在底层上对硬件进行建模和验证。线网数据类型还具有多个强度级别,并且能够为多驱动源的线网提供解析功能。
6 c/ b1 E6 }4 /6 w$ r8 k' D  o9 }5 k* B# o' }) N& v, e
SystemVerilog包括了C语言的char和int数据类型,它允许在Verilog模型和验证程序中直接使用C和C++代码。Verilog PLI不再需要集成总线功能模型、算法模型和C函数。SystemVerilog还为Verilog加入了几个新的数据类型,以便能够在更抽象的层次上建模硬件。; `* v0 t. L% c. g1 V' k
* j, Q6 ]4 u# p) o( @/ M
l         char:一个两态的有符号变量,它与C语言中的char数据类型相同,可以是一个8位整数(ASCII)或short int(Unicode);
+ ?& v9 l8 e( W1 /: _9 q& N. `6 @9 K0 p. y0 w+ j% ^& _0 @9 Z
l         int:一个两态的有符号变量,它与C语言中的int数据类型相似,但被精确地定义成32位;- v; h; E7 x" ^4 G
- L, U& V' X# Y1 W& P$ ~
l         shortint:一个两态的有符号变量,被精确地定义成16位;
; j# G9 /. {8 n/ p; u, h2 N6 y, m! Y# I( b) D0 _9 ?  P1 q% i
l         longint:一个两态的有符号变量,它与C语言中的long数据类型相似,但被精确地定义成64位;
% c, L1 m  C1 T( ?& f. f$ D  o7 [* U+ @5 c' _
l         byte:一个两态的有符号变量,被精确地定义成8位;( Z7 q* S  j. ^6 A
1 G0 S& F" n0 C  d& d& X% G
l         bit:一个两态的可以具有任意向量宽度的无符号数据类型,可以用来替代Verilog的reg数据类型;& S! z( n1 I0 |

/ W& M- b$ r/ W5 y+ z* ol         logic:一个四态的可以具有任意向量宽度的无符号数据类型,可以用来替代Verilog的线网或reg数据类型,但具有某些限制;
( m5 T; `* P5 |; i2 Y3 B3 J: g8 V0 e3 i8 p( s
l         shortreal:一个两态的单精度浮点变量,与C语言的float类型相同;- A9 s  F% s& [" z9 j6 N2 t

( A/ |% N6 w- P* q8 Sl         void:表示没有值,可以定义成一个函数的返回值,与C语言中的含义相同。
6 t3 A* f. B8 g: V$ D2 S$ o! x, /4 V* a
SystemVerilog的bit和其他数据类型允许用户使用两态逻辑对设计建模,这种方法对仿真性能更有效率。由于Verilog语言没有两态数据类型,因此许多仿真器都通过将这种功能作为仿真器的一个选项提供。这些选项不能够在所有的仿真器之间移植,而且在需要时用三态或四态逻辑的设计中强制使用两态逻辑还具有副作用。SystemVerilog的bit数据类型能够极大改进仿真器的性能,同时在需要的时候仍然可以使用三态或四态逻辑。通过使用具有确定行为的数据类型来代替专有的仿真器选项,两态模型能够在所有的SystemVerilog仿真器间移植。' c9 l1 b# M  z

& ]6 [; s7 N( D5 YSystemVerilog的logic数据类型比Verilog的线网和寄存器数据类型更加灵活,它使得在任何抽象层次上建模硬件都更加容易。logic类型能够以下面的任何一种方法赋值:/ ]* z- W. D  `2 |

( |- V# ?$ s; W+ p, [5 ]& Cl         通过任意数目的过程赋值语句赋值,能够替代Verilog的reg类型;
" S2 ?" /% O; @* Z. v* m; Q5 I. n- D3 Q8 p% |9 {5 L1 l' @
l         通过单一的连续赋值语句赋值,能够有限制地替代Verilog的wire类型;; `& ~# ]! E  C0 E4 K

- W0 U& [& k% }# u+ e& y+ s" ml         连接到一个单一原语的输出,能够有限制地替代Verilog的wire类型;
) Z- r+ b- U3 F8 K3 u0 V7 h' j
  M  Y% u8 _! ]2 i) j由于logic数据类型能够被用来替代Verilog的reg或wire(具有限制),这就使得能够在一个更高的抽象层次上建模,并且随着设计的不断深入能够加入一些设计细节而不必改变数据类型的声明。logic数据类型不会表示信号的强度也不具有线逻辑的解析功能,因此logic数据类型比Verilog的wire类型更能有效地仿真和综合。
3 d/ V( f2 o4 p3 t, L
9 F0 G' x( M* t! @+ f2 }+ @5. 有符号和无符号限定符
9 ^$ E' D- M$ g0 I$ C8 ~9 ^: a# s$ E5 C) _) L) q) I
缺省情况下,Verilog net和reg数据类型是无符号类型,integer类型是一个有符号类型。Verilog-2001标准允许使用signed关键字将无符号类型显式地声明成有符号类型。SystemVerilog加入了相似的能力,它可以通过unsigned关键字将有符号数据类型显式地声明成有无符号数据类型。例如:' V' F* L, {: p4 ^  B4 K& x
7 J) i2 X, Z+ V4 G6 v
int unsigned j;3 ]8 ^. ?& q! y! I0 f$ @
( M6 K5 p) ?& g* R! Z1 v8 K6 S
值得注意的是unsigned在Verilog中是一个保留字,但并没有被Verilog标准使用。

你可能感兴趣的:(SystemVerilog语言简介)