Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列

0x1前言

计算机组成原理实验项目之一,要求使用Quartus II 的图形化编程制作 4位全加器 和 两个4位四位数相乘的乘法阵列。

本篇假定,你已经掌握Quartus II 和 DICE-E213实验箱的基本使用,如果遇到问题可以参考Quartus II 实验 (一)——软件和实验箱DICE-E213的基本说明

 

x02制作 4位全加器

 

步骤一:制作一个半加器

 

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第1张图片

导出半加器,并作为模块存放到下一个工程的目录下,具体做法可以参考Quartus II 实验 (一)——软件和实验箱DICE-E213的基本说明,以后的每个工程的调用以此类推。需要注意,调用很可能是递归的,所以所有引用的模块都要连带传递。

 

步骤二:制作一个全加器

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第2张图片

 

步骤三:制作一个4位加法器

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第3张图片

 

步骤四:排布引脚

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第4张图片

  • 引脚对应图:

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第5张图片

 

步骤五:编译,上电!烧入实验箱

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第6张图片

步骤七:测试

测试时看准引脚对应的输入,并且需要强调对于本试验箱输出模块亮为0灭为1,找到那一端是地位那一端是高位,比较无脑,不再赘述。

 

0x3制作 4位乘法阵列

  • 流程和上面一样,这里不再赘述,贴一下引脚图做一点说明:

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第7张图片

ABCD是初始置0000,开关输入量一定要置0000,否则结果不对,CC是低位进位。

另外是,两组4位输入,和一组8位输出。

  • 目录结构是:

Quartus II 实验 (三)——图形化编程4位全加器,4位乘法阵列_第8张图片

ADDH-->ADDF-->MULH-->MULF-->MUL

 

0x4提供以上两个工程的源文件,供大家参考。

四位乘法阵、\四位加法器  http://sudo.ys168.com/   公共下载区

备用链接:https://download.csdn.net/download/qq_41420747/11247995

 

0x5结束收工

在试验过程中如有错误,欢迎留言,讨论,也欢迎指出我的错误。

你可能感兴趣的:(Quartus,II)