[VCS]Merge coverage

一、記錄一些常用的VCS Command

  1. merge coverage:urg -full64 -metric line+tgl -warn none -dbname .vdb -dir simv.vdb -elfile
  2. 查看coverage:verdi -cov -covdir .vdb &
  3. 如果是用urg,則使用如下command:urg -dir simv.vdb &
  4. VCS收Coverage的command:-cm line+tgl+line+cond+fsm+assert+branch
  5. 如果修改了covergroup的name或者是採樣信號的定義,可以加如下option進行coverage merge:-flex_merge drop或者        -flex_merge reference-flex_merge union
  6. 如果是看網頁版,則使用如下command打開: firefox  ${sim}/urgReport/dashboard.html

二、注意事項

  1. 如果Hierarchy或者covergroup有修改,在Merge VDB的時候需要刪除之前的VDB數據。
  2. 如果在例化DUT的時候需要傳遞參數進去,導致生成的電路不一致,需要分別Merge,應為不同Hierarchy的module的VDB不能夠Merge在一起。

你可能感兴趣的:(Tool)