Verilog代码随笔001

Verilog代码随笔001

1、如何快速理清verilog代码数据流

找到模块数据输出信号data_out,通过verdi软件trace输出信号data_out,找到信号驱动源,不断的trace,不断找到驱动直到找到模块的输入数据data_in。Data_out和data_in为举例的信号名,实际代码中信号名五花八门,请以实际情况为准。

2、多个状态机模块,如何高效编写verilog代码

写代码之前先画草图,理清状态跳转图,反复推敲,确保状态跳转齐全无遗漏后再开始写代码。

  1. 确定状态机对应功能
  2. 确定每个状态机的状态跳转;
  3. 画草图,把状态机跳转用图形信号联系起来。

NOTE:

  1. 状态机的状态要齐全
  2. 跳转条件各类情况不能有遗漏
  3. 写代码之前确定好状态机状态名以及交互的信号名

你可能感兴趣的:(verilog)