vivado中使用vhdl库文件

vhdl编程时经常碰到这样的语句:

library libcommon;
use libcommon.global.all;

library work;
use work.openmacPkg.all;

实际上这个库是个名为global.vhd的文件,光有上面这2语句IDE是找不到这个库来使用的,还需要设置,那么在vivado里具体怎样使用呢?

工程建好后会有个"xil_default"库,这个其实就是"work"库,vhdl里“library"其实就是个路径。点"Libraries"标签,点"+"按钮,把要引用的库文件(*.vhd)加进来,这时会被加进xil_default库中,然后在"xil_default"树分支下找到刚加进来的库文件(*.vhd),然后在下面的"Source File Propertites"面板里的"Library"编辑框里把它改成你要的库文件名(比如:libcommon),就可以了,如图:

vivado中使用vhdl库文件_第1张图片

vivado中使用vhdl库文件_第2张图片

你可能感兴趣的:(vivado中使用vhdl库文件)