Quartus 使用Pin、qsf、TCL脚本分配FPGA管脚

Quartus II分配FPGA管脚方法

1、直接配置

对应操作也是我们大家最熟悉的:Assignments --> Pin Planner
或者使用快捷方式 : Ctral + Shift + N

2、qsf文件配置

全称是Quartus Settings File。包含了一个Quartus工程的所有约束,包括工程信息、器件信息、引脚约束、编译约束和用于Classic TimingAnalyzer的时序约束。

qsf 文件会通过编译产生,在工程目录下可以直接找到
也可以将文件导出:Assignments --> Export Assignments…

文件配置格式:

#配置属性格式
	set_global_assignment -name FAMILY "Cyclone V"
#	set_global_assignment -name 类描述 具体描述
#配置管脚格式
	set_location_assignment PIN_V15 -to CLK
#	set_location_assignment 管脚号 -to 管脚名
3、TCL文件配置

全称是 Tool command language,是基于字符串的命令语言,tcl 语言是一种解释性语言,他不需要通过编译与联结,它像 “shell” 语言一样,直接对每条语句顺次解释执行。在FPGA的应用中 tcl 文件中使用 tcl 语言对管脚进行配置,tcl 文件只包含管脚的配置信息。

TCL 文件可以自行创建,
或在Quartus工程下生成:Project --> Generate Tcl Files for project…

如图:
Quartus 使用Pin、qsf、TCL脚本分配FPGA管脚_第1张图片

文件配置格式:

#配置属性格式
	set_global_assignment -name FAMILY "Cyclone V"
#	set_global_assignment -name 类描述 具体描述
#配置管脚格式
	set_location_assignment PIN_V15 -to CLK
#	set_location_assignment 管脚号 -to 管脚名

文件书写格式与 qsf文件相似

加载 TCL文件:

加载方式:Tools --> Tcl Scripts,选文件后,Run

如图:
Quartus 使用Pin、qsf、TCL脚本分配FPGA管脚_第2张图片

少量管脚分配可以使用通识简单的第一种方法,如果对大量管脚分配,可以使用 qsf、tcl 简介直观明了的方法。

你可能感兴趣的:(FPGA开发,FPGA实验)