FPGA-7分频程序

芯片:Alter-FPGA、CycloneIV系列EP4CE6E22C8N芯片
EP4CE6E22C8N芯片相关参数:6272个逻辑单元、30个M9K存储器模块、存储器总容量为270Kbit、15个18x18乘法器、2个PLL、182个最大用户I/O、66个最大差分通道。
EP4CE6E22C8N 中数字代表什么:EP4C是Cyclone IV系列、6为约有6K的逻辑单元、四方扁平封装代码22代表144引脚、8为速度等级(最大是400MHz)
最大时钟频率:400MHz
程序

module divcllk(clk_400M,divclk1,divclk2,divclk);
input clk_400M;
output divclk1;
output divclk2;
output divclk;
reg [5:0]count1;
reg divclk1;

always @ (posedge clk_400M)
    begin
        if(count1==3)
        begin 
            divclk1=~divclk1;
            count1<=count1+1;                                                                                                             
        end
        else if(count1==6)
        begin 
            divclk1=~divclk1;
            count1<=0;                                                                                                             
        end
        else
            count1<=count1+1;
    end
    
reg [5:0]count2;
reg divclk2;
always @ (negedge clk_400M)
    begin
        if(count2==3)
        begin 
            divclk2=~divclk2;
            count2<=count2+1;                                                                                                             
        end
        else if(count2==6)
        begin 
            divclk2=~divclk2;
            count2<=0;                                                                                                             
        end
        else
            count2<=count2+1;
    end
assign
divclk=divclk1 | divclk2;

endmodule   

仿真图FPGA-7分频程序_第1张图片时钟奇数分频是先偶分频再将两个时钟相与就是奇分频。

你可能感兴趣的:(FPGA-7分频程序)