生成.coe文件的matlab代码

clear all;
width=8;%数据宽度
n=[0:19];%数据长度
y=sin(2*pi.*n/20);%这里生成的是正弦的一个周期
y_sin=round(y*(2^(width-1)-1));%取整
fid=fopen('D:\FPGA\sine.coe','w');%文件保存的位置,不要有中文
fprintf(fid,'%s\n','memory_initialization_radix=10;');
fprintf(fid,'%s','memory_initialization_vector=');
fprintf(fid,'%d,',y_sin);
fclose(fid);
%生成文件后,在保存的路径找到,用记事本打开,将最后一个逗号改为分号。

生成.coe文件的matlab代码_第1张图片

你可能感兴趣的:(生成.coe文件的matlab代码)