VCS仿真运行命令

+tc=
+seed=
-cm assert
+ntb_solver_array_size_warn=20001
+vcs+lic+wait
-assert nopostproc
+UVM_VERBOSITY=UVM_MEDIUM
-cm line+fsm+cond -cm tgl 
-cm_dir ./${mode}/cov/simv.vdb -cm_name ${tc_name}_${seed}
+UVM_TESTNAME=${tc_name}
-l ./${mode}/log/${tc_name}_${seed}.log
+ntb_random_seed=${seed}

仿真命令

/xxx/simv +vcs+nostdout -cm line+cond+tgl+fsm+branch +timeout=120 +UVM_VERBOSITY=UVM_NONE -cm_name tc_sanity_121078636 +UVM_TESTNAME=tc_sanity +ntb_random_seed=121078636 -l /xxx/run.log

仿真命令中加入-cm_name可以将覆盖率数据信息放在独立的文件夹中。

仿真选项里加上-ucli -do xxx/wave.do
wave.do

call \$fsdbAutoSwitchDumpfile 1024 \"tc_sanity.fsdb\" 1
call \$fsdbDumpvars 0 top

run
quit
 +fsdb_autoflush -ucli -do xxx/wave.tcl

wave.tcl

#dump -type fsdb -file xxx.fsdb
#dump -nolocking
#senv timePrecision

call {$fsdbAutoSwitchDumpfile(1024, "xxx.fsdb", 1)}
call {$fsdbDumpvars(0, top, "+all")}
call {$fsdbDumpMDA}
call {$fsdbDumpSVA}
for {set x 0} {$x < 10} {incr x} {
  run 1us
  call {$fsdbDumpflush}
}
fsdbDumpflush

run
quit
VCS仿真运行命令_第1张图片
compile.jpg
VCS仿真运行命令_第2张图片
run.jpg

你可能感兴趣的:(VCS仿真运行命令)