FPGA实现实时运动目标检测verilog

本文实现运动目标检测得方法是采用帧间差分法,使用verilog语言实现。

使用得平台是Altera开发板,前端摄像头使用OV7725或者OV7670,显示使用VGA或TFT显示在显示器上。使用FPGA实现帧间差分法得难点在于如何实现帧差,缓存两帧图像,进行差分运算。后面有上板验证的真实照片!
本文使用SDRAM来缓存相邻的两帧图像,实现的方法有两种:1、掩码法;2、延帧法。
1、掩码法:使用SDRAM,SDRAM的一个通道可以缓存16bit的数据。通过掩码的形式,掩码为1时掩码为有效位,当掩码为01时,遮掩低8位;当掩码为10时,遮掩高8位,这样通过写完一帧图像后掩码进行切换,实现连续16bit的高8bit和低8bit为连续两帧的图像,下图为算法的结构图:
FPGA实现实时运动目标检测verilog_第1张图片
2、延帧法
该方法不同于掩码法,都是对SDRAM的一个通道进行处理,该方法主要是将通道1的读使能=写使能延时一帧图像,这样可以同时得到读写数据为相邻的两帧图像数据,然后进行帧间差分处理,下图为结构图:

你可能感兴趣的:(D1:verilog设计,目标检测,计算机视觉,人工智能)