sv中覆盖率

一:代码覆盖率
1 行覆盖率(line coverage)
2 翻转覆盖率 (toggle coverage)
3 条件覆盖率 (condition coverage)
4 分支覆盖率 (branch coverage)
5 状态机覆盖率 (fsm coverage)

VCS中收集为 -cm line | cond | fsm | tgl | branch | assert

二:功能覆盖率
如果是在一个类中定义覆盖组,首先是先定义,其后在new函数中实例化,最后是调用sample()函数采样,也可以使用事件触发覆盖组。

例:1
covergroup name;
em1: coverpoint name1{
bins key1 = {2’b00};
bins key2 = {2’b01};
}
endgroup
还可以使用cross来对多个采样点进行交叉覆盖

你可能感兴趣的:(sv基础)