用matlab画多普勒加宽线性函数,MTD雷达中多普勒滤波器组的设计与实现

合肥工业大学理学院电子科学与技术2006届毕业论文集

目 录

中文摘要1

英文摘要2

1 引言3

1.1 研究背景及意义3

1.2 国内外研究现状4

1.3 本设计的指导思想和主要工作4

2 动目标检测(MTD)雷达基本原理6

2.1 多普勒效应6

2.2 动目标检测(MTD)雷达的工作原理8

2.2.1 动目标显示(MTI)雷达的工作原理8

2.2.2 动目标检测(MTD)雷达的工作原理10

3 MTD多普勒滤波器组的设计13

3.1 加权DFT实现MTD滤波13

3.1.1 DFT滤波器分析13

3.1.2 窄带滤波器组信号处理的优点15

3.2 FIR实现MTD多普勒滤波器16

3.2.1 设计思路17

3.2.2 MTD多普勒滤波器组的设计17

3.3 MTD/MTI雷达的性能评价指标20

4 MTD雷达中预处理模块设计23

4.1 乒乓操作23

4.1.1 乒乓操作的处理流程23

4.1.2 乒乓操作的特点23

4.1.3 乒乓操作的应用25

4.2 MTD雷达匹配滤波器的总体结构26

4.3 MTD雷达中预处理模块设计27

4.3.1 多路选择器的设计28

4.3.2 计数器的设计30

4.3.3 MTD雷达预处理模块设计32

总 结34

谢 辞34

参考文献35

摘要:在高科技战争中,探测敌方的进攻目标(如飞机、导弹、舰艇等)是一个重要问题。它实际上是一个解决在密集的杂乱回波中发现感兴趣的目标的问题,即所谓的动目标检测(MTD)。

本文对MTD雷达技术的核心(多普勒滤波器组)进行了深入的研究和设计。文章主要分为以下四个部分:

首先,本文对研究课题的背景及其意义进行了一个大概的说明。其次,对动目标检测(MTD)雷达的基本原理进行了全面而详细的介绍,如MTD的主要功能,其中,对于与MTD极其相关的动目标显示(MTI)也进行了一个简要的介绍。再次,对MTD雷达技术的核心(多普勒滤波器组)进行了设计和分析,文中应用了加权DFT和FIR这两种方法实现MTD滤波,并给出了MTD性能评价指标。最后,对MTD滤波器输入数据的存储这一问题用预处理乒乓操作进行了设计,并对仿真结果进行了分析和说明。

关键词:动目标检测(MTD),动目标显示(MTI),滤波器,乒乓操作,设计

Abstract:In high-tech warfare, detecting enemy’s offensive goals

(such as aircraft, missiles, ships, etc.)is an important issue. In fact, it is a solution about how to found the interesting objectives in the intensive mess echo., the so-called Moving Target Detection (MTD).

In this paper, I spent much time and many words on the research of the core of MTD radar technology--the design of Doppler Filter Team. The article is divided into four main parts:

First, There is a general description about the background of this topic and its significance. Secondly, the basic principles of the Moving Target Detection (MTD) radar are comprehensive and detailed introduced, such as the main function of MTD. In addition, the Moving Target Indication(MTI) which is Extremely relevant with MTD is also conducted a brief introduction. Thirdly, There are some design and analysis that I did on the MTD radar technology core (Doppler Filter Team), and the two approaches—DFT and FIR were applicated in achieving MTD filter. At the same time some of the MTD performance evaluation indicators were given. Finally, how to store the input data of MTD filter in the design was designed with the ping-pong operation, and the simulation results were analysed and described.

Keyword: Moving Target Detection (MTD), Moving Target Indication(MTI), Filter, Ping-pong operation , Design

1 引言

1.1 研究背景及意义

雷达是用来发现目标和测量目标的无线电电子系统。雷达工作的物理基础是物体对电磁波的反射现象。雷达的两个主要特征是,它具有远距离探测目标和对目标进行较高精度定位的能力。虽然雷达技术主要因军事应用而得到发展,但它也在许多民用领域中得到了应用,比如船舶和飞机的导航就是典型的例子。

从50年代末以来,由于航空与航天技术的飞速发展,飞机、导弹、人造卫星及宇宙飞船等采用雷达作为探测和控制的手段,尤其是在60年代研制的反洲际弹道导弹系统,对雷达提出了高精度、远距离、高分辨率及多目标测量等要求。由于解决了一系列的关键性问题,雷达进入蓬勃发展的新阶段,如脉冲压缩技术的采用;单脉冲雷达和相控阵雷达研制的成功;脉冲多卜勒雷达体制的研制成功,使雷达能测量目标的位置和相对运动速度,并具有良好的抑制地物去干扰等的能力;由于雷达中数字电路的广泛应用和计算机与雷达的配合使用,使雷达的结构组成和设计发生了根本性的变化。雷达采用这些先进技术后,工作性能大为提高。60年代相控阵雷达技术大量用于战术雷达,这期间研制成功的主要相控阵雷达,包括美国陆军的“爱国者”、海军的“宙斯盾”等。进入90年代,尽管冷战结束,但局部战争仍然不断,特别是由于海湾战争的刺激,雷达又进入了一个新的发展时期;对雷达观察隐身目标的能力、在反辐射导弹(ARM)与电子战(CEW)条件下的生存能力和工作有效性提出了更高的要求,对雷达测量目标特征参数和进行目标分类、目标识别有了更强烈的需求。随着微电子和计算机的高速发展,雷达的技术性能也在迅速提高,在军事上的应用进一步扩大。雷达是在不断发展变化的:一方面它综合应用各种新技术、新器件来完善和提高自身的性能,另一方面不断出现的各种新技术的应用,也促使雷达不断的改善。

在现代高技术战争条件下,雷达所面临的电磁信号环境越来越复杂,雷达在复杂电磁环境下的生存能力便成为衡量雷达性能指标的重要标志。为了抵御各种有源和无源干扰,现代雷达采取了各种各样的抗干扰措施,概括起来,可以归纳为时域抗干扰、频域抗干扰和空域抗干扰三个方面。

雷达信号的频域抗干扰措施主要包括动目标显示(MTT)、动目标检测(MTD)和脉冲多普勒(PD)技术等。广义讲,MTD是PD的一种特例,一般认为MTD是一种低重复频率的PD处理。但MTD与PD处理的基木原理是相同的。现在,MTD处理己成为雷达抗干扰(尤其是抗杂波干扰和箔条干扰)的重要手段,在现代雷达中得到了广泛的应用,发挥着不可替代的作用。

1.2 国内外研究现状

1974年美国麻省理工学院林肯实验室研制出了新型的需达信号频域处理装置——动目标检测器,为第一代MTD。它主要由一个传统的三脉冲MTI对消器级联一个8点FFT构成,这一8点FFT等效为一组相邻有覆盖的窄带滤波器组,它实际上就是用作脉冲串回波相参积累的匹配滤波器。

第二代MTD在70年代末推出,它的主要改进是:其一,在匹配滤波处理后对同一单元不同滤波器频道的输出分别进行自适应门限调整,即分频道CFAR处理;其二,增加了所谓“饱和/干扰”试验电路,用于封锁特别强干扰的对应距离单元的输出;其三,具备了一定的气象估测能力,这主要是ATC需达所要求的。

更新一代的动目标检测是自适应MTD(AMTD),其关键是实时检测杂波的存在,判定杂波强度(如强/中/弱)甚至特性,据此对存储的杂波图进行修正,并自动产生或选择滤波器加权因子,以期在保证对地杂波的高度抑制的前提下,尽量减小对气象(如雨)杂波的灵敏性(低的多普勒旁瓣),并具有最小的主瓣宽度和最小的信噪比(SNR)损失。

目前MTD技术己成为雷达数字信号处理机的核心技术。伴随着视频数字集成电路,特别是FFT,DSP以及PLD硬件的发展,使MTD技术得以在脉冲多普勒(PD)雷达引信信号处理机的硬件上实现,并使引信在1-2ms内完成对背景干扰下动目标的三维探测和对回波更具细节的检测与识别成为可能,这大大提高了系统的信噪比和信干比。

1.3 本设计的指导思想和主要工作

本文对MTD的核心技术(多普勒滤波器组)进行了设计,多普勒滤波器组的设计首先要解决的问题是滤波器的设计,而滤波器的设计方法多种多样,其中最常用的方法有三种:窗函数设计法、频率抽样设计法和切比雪夫加权设计法。本文在设计滤波器时应用了窗函数法,选择了相对理想的窗口(汉明窗),从仿真出来的结果看,还很理想,其旁瓣较低,主瓣宽度也比较窄。各个滤波器设计好之后,接下来就是对各个滤波器进行加权处理,实现多普勒滤波器组。实验结果表明,所设计的多普勒滤波器组,具有滤波精度高、处理速度快、可编程特性好的特点。

多普勒滤波器组的实现另一个比较核心的问题是滤波器输入数据的存储,由于MTD雷达原理的别样性,文中采用了预处理乒乓操作对MTD滤波器输入数据进行存储。由于预处理乒乓操作通过“输入数据选择单元”和“输出数据选择单元”按节拍、相互配合的切换,所以经过缓冲的数据流没有停顿地送到“数据流运算处理模块”进行运算与处理。这样不仅节省了很多等待的时间,而且也节约了缓冲区空间。

在对MTD预处理模块设计时,设计一个具有控制功能的多路选择器和计数器是本课题的关键,所以这两个小模块的设计是MTD预处理模块设计中心问题。

2 动目标检测(MTD)雷达基本原理

雷达要探测的目标,通常是运动着的物体,例如空中的飞机、导弹,海上的舰艇,地面的车辆等。但在目标的周围经常存在着各种背景,例如各种地物、云雨、海浪及敌人施放的金属丝干扰等。这些背景可能是完全不动的,如山和建筑物,也可以是缓慢运动的,如有风时的海浪和金属丝干扰,一般来说,其运动速度远小于目标。这些背景所产生的回波称为杂波或无源干扰。

当杂波和运动目标回波在雷达显示器上同时显示时,会使目标的观察变得很困难。如果目标处在杂波背景内,弱的目标湮没在强杂波中,特别是当强杂波使接受系统产生过载时,发现目标十分困难。目标不在杂波背景内时,要在成片杂波中很快分辨出运动目标回波也不容易。如果雷达终端采用自动检测和数据处理系统,则由于大量杂波的存在,将引起终端过载或者不必要地增大系统的容量和复杂性。因此,无论从抗干扰或改善雷达工作质量的观点来看,选择运动目标回波而抑制固定杂波背景都是一个很重要的问题。

区分运动目标和固定杂波的基础是它们在速度上的差别。由于运动速度不同而引起回波信号频率产生的多普勒频移不相等,这就可以从频移上区分不同速度目标的回波。在动目标显示(MTI)和动目标检测(MTD)雷达中使用了各种滤波器,滤去固定杂波而取出运动目标的回波,从而大大改善了在杂波背景下检测运动目标的能力,并且提高了雷达的抗干扰能力。

2.1 多普勒效应

在阐述MTD雷达原理之前,我们先来看一下多普勒效应这一概念。

多普勒效应是指当发射源和接收者之间有相对径向运动时,接收到的信号频率将发生变化。这一物理现象首先在声学上由物理学家克里斯顿多普勒于1842年发现的。1930年左右开始将这一规律运用到电磁波范围。雷达应用日益广泛及对其性能要求更加提高,推动了利用多普勒效应来改善雷达工作质量的进程。

下面研究当雷达与目标有相对运动时,雷达站接收信号的特征。为方便计,设目标为理想“点”目标,即目标尺寸远小于雷达分辨单元。

这里我们只考虑雷达发射连续波的情况,这时发射信号可表示为

(2-1)

式中,为发射角频率;为初相;为振幅。

在雷达发射站处接收到由目标发射的回波信号为

(2-2)

式中,,为回波滞后于发射信号的时间,其中R为目标和雷达站间的距离;为电磁波传播速度,在自由空间传播时它等于光速;为回波的衰减系数。

如果固定目标不动,则距离为常数。回波与发射信号之间有固定相位差,它是电磁波往返于雷达与目标之间所产生的相位滞后。

当目标与雷达站之间有相对运动时,则距离随时间变化。设目标以匀速相对雷达站运动,则在时间时刻,目标与雷达站间的距离为

(2-3)

式中,为时的距离;为目标相对雷达站的径向运动速度。

式(2-2)说明,在时刻接收到的波形上的某点,是在时刻发射的。由于通常雷达和目标间的相对运动速度远小于电磁波速度,故时延可近似写为

(2-4)

回波信号比起发射信号来,高频相位差

(2-5)

是时间的函数,在径向速度为常数时,产生频率差为

(2-6)

这就是多普勒频率,它正比于相对运动的速度而反比于工作波长。当目标飞向雷达站时,多普勒频率为正值,接收信号频率高于发射信号频率,而当目标背离雷达站飞行时,多普勒频率为负值,接收信号频率低于发射信号频率。

多普勒频率可以直观地解释为:振荡源发射的电磁波以恒速传播,如果接收者相对于振荡源是不动的,则他在单位时间内收到的振荡数目与振荡源发出的相同,即二者频率相等。如果振荡源与接收者之间有相对接近的运动,则接收者在单位时间内收到的振荡数目要比他不动时多一些,也就是接收频率增高;当二者作背向运动时,结果相反。

2.2 动目标检测(MTD)雷达的工作原理

上面我们引出了多普勒频率这一概念,下面我们来介绍一下运动目标检测(MTD)雷达的基本原理。首先我们先来看一下与动目标检测(MTD)极其相关的动目标显示(MTI)雷达的工作原理。

2.2.1 动目标显示(MTI)雷达的工作原理

MTI(Moving Target Indication)即运动目标显示,其本质含义是:基于回波多普勒信息的提取而区分运动目标与固定目标(包括低速运动的杂波等)。当脉冲雷达利用多普勒效应来鉴别运动目标回波和固定目标回波时,与普通脉冲雷达的差别是必须在相位检波器的输入端加上基准电压(或称相参电压),该电压应和发射信号频率相参并保存发射信号的初相,且在整个接收信号期间连续存在。工程上,基准电压的频率常选在中频。这个基准电压是相位检波器的相位基准,各种回波信号均与基准电压比较相位。从相位检波器输出的视频脉冲,有固定目标的等幅脉冲串和运动目标的调幅脉冲串。通常在送到终端(显示器或数据处理系统)去之前要将固定杂波消去,故要采用相消设备或杂波滤波器,滤去杂波干扰而保存运动目标信息。

因此,MTI通常包括两个最基本的部分,即完成多普勒信息提取的相参处理与完成目标区分的对消处理(有时又称滤波处理)。下面主要介绍一下固定杂波消除这个问题。

在相位检波器输出端,固定目标的回波是一串振幅不变的脉冲,而运动目标的回波是一串振幅调制的脉冲。将它们加到偏转调制显示器上,固定目标回波是振幅固定的脉冲,而运动目标回波呈现上下“跳动”的“蝴蝶效应”。可以根据这种波形持点,在偏转显示器上区分固定目标与运动目标。如果要把回波信号加到亮度调制显示器或终端数据处理设备,则必须先消除固定目标回波。最直观的一种办法是将相邻重复周期的信号相减,则固定目标回波由于振幅不变而互相抵消;运动目标回波相减后剩下相邻重复周期振幅变化的部分输出。

由相位检波器输出的脉冲包络为

(2-7)

式中,为回波与基准电压之间的相位差,

(2-8)

回波信号按重复周期出现,将回波信号延迟一周期后,其包络为

(2-9)

相消器的输出为两者相减,

(2-10)

输出包络为一多普勒频率的正弦信号,其振幅为

(2-11)

也是多普勒频率的函数。当时,输出振幅为零。这时的目标速度正相当于盲速。盲速时的运动目标回波在相位检波器的输出端与固定目标回波相同,因而经相消设备后输出为零,如图2.1延迟相消设备及输出响应曲线所示。

(a)

(b)

(c)

图2.1 迟延相消设备及其输出响应

(a)组成框图;(b)速度响应;(c)频率响应特性

相消设备也可以从频率域滤波器的观点来说明,而且为了得到更好的杂波抑制性能,常从频率域设计较好的滤波器来达到。下面求出相消设备的频率响应特性。输出为

(2-12)

网络的频率响应待性为

(2-13)

其频率响应特性如图2.1(c)所示。

相消设备等效于一个梳齿形滤波器,其频率特性在各点均为零。固定目标频谱的特点是:谱线位于点上,因而在理想情况下,通过相消器这样的梳齿滤波器后输出为零。当目标的多普勒频率为重复频率整数倍时,其频谱结构也有相同的特点,故通过上述梳状滤波器后无输出。

2.2.2 动目标检测(MTD)雷达的工作原理

1、MTD的主要功能和要求

上一节讨论了动目标显示(MTI)的原理,这一章将系统介绍动目标检测(MTD)技术。MTD与MTI虽同属雷达信号的频域处理范畴,但一般意义上说,MTD是MTI的改进或更有效的频域处理技术。这种基于杂波与运动目标多普勒频率差别的信号处理大致经过了一个由模拟MTI数字MTI(DMTI)线性MTI自适应MTIMTD自适应MTD(AMTD)的发展里程。而广义地讲,MTD处理又是脉冲多普勒(PD)处理的一种特殊形式。与传统MTI相比,MTD主要依靠信号处理的潜在能力,在以下三个方面作了改进:

(1)、改善滤波器的频率特性,使之更接近于最佳(匹配)线性滤波,以提高改善因子;

(2)、能够检测强地物杂波中的低速目标甚至切向飞行的大目标;

(3)、不仅能抑制平均多普勒频移通常等于零的固定杂波,而且还能抑制如气象、鸟群等引起的运动(慢动)杂波。

早期的动目标显示雷达性能不高,其改善因子一般在20dB左右。这是由多方面因素造成的:锁相相参系统的高频稳定性不够、接收系统的非线性限幅、采用模拟延迟线时通常只能作一次相消且性能不稳亦不能实现最佳滤波等。由雷达原理所知,当雷达高频系统稳定性不高时,将使固定杂波回波谱产生一部分接近均匀谱的杂散分量,而限制改善因子可能达到的更大值。当雷达采用全相参体制或者是用信号处理的方法(如DSU)来改善锁相相参系统的高频稳定性后,其性能会有明显的提高。从目前情况来看,全相参系统的高频稳定性已可做到不再成为改善因子的障碍。在信号处理方面当采用数字延迟线代替模拟延迟线实现MTI后,其工作稳定、可靠,这种DMTI系统还广泛采用了I,Q正交双通道处理和高阶数字滤波器来得到合适的滤波特性。DMTI已比早期模拟MTI在性能上有了较大的改善。但它的滤波特性还不是最佳线性滤波特性,且非线性中放限幅制约了改善因子的进一步提高。围绕着这两个问题的研究,提出了具有信号匹配滤波特性的窄带多普勒滤波器组及具有大动态范围的线性MTI的处理技术,再加上高速集成电路技术的发展和FFT算法的实际应用等,促成了动目标检测处理器的研制成功。实质上,MTD的核心就是线性DMTI加窄带多普勒滤波器组。

2、雷达信号的最佳滤波:窄带多普勒滤波器组处理

当杂波功率谱和信号频谱已知时,最佳滤波器的频率响应是

(2-14)

这实际上就是基于色噪声(这里称为杂波)白化处理的匹配滤波器。这一滤波器可分成两个级联的滤波器和,其传递函数分别为

(2-15)

(2-16)

可以粗略地认为,用于杂波抑制,而用于对雷达回波脉冲串信号匹配。对MTI而言,它要使杂波得到抑制而让各种速度的运动目标信号通过,所以MTI滤波器即相当于;至于和目标信号的匹配,对单个脉冲可用中频带通放大器来保证,而对脉冲串则只能采用对消后的非相参积累,这离式(2-10)的要求差距较大。所以实际能做到的大多数MTI滤波器,只能使其滤波特性的凹口对准杂波梳状谱的中心,且使二者宽度基本相当。有时也将这称为杂波抑制准最佳滤波。对于相参脉冲串信号,还可进一步表示成

(2-17) 即信号匹配滤波器为和两个滤波器级联。式中为单个脉冲的匹配滤波器,通常由接收机中放实;专对相参脉冲串进行匹配滤波,它利用了回波脉冲串的相位特性而进行相参积累。根据有关回波脉冲串的频谱分析可以想象应是梳齿形滤波器,齿的间隔为脉冲重复频率,齿的位置取决于回波信号的多普勒频移,而齿的宽度则应和回波谱线宽度相一致。

要对回波相参脉冲串作匹配滤波,必须知道目标的多普勒频移以及天线扫描对脉冲串的调制情况(亦即信号的时宽,对简单信号而言它决定信号的频宽)。实际情况中,多普勒频移不能预知,因此需要采用一组相邻且部分重叠的滤波器组,覆盖整个多普勒频率范围,这就是窄带多普勒滤波器组。见图2.2。

图2.2 动目标显示滤波器和多普勒滤波器组的特性

(a)动目标显示滤波;(b)多普勒滤波器组的特性

3 MTD多普勒滤波器组的设计

上一章我们对MTD雷达原理进行了比较深刻的阐述,这一章我们来研究一下MTD雷达中多普勒滤波器组的设计。

多普勒滤波器组是MTD系统的核心,其性能决定MTD的性能,其最简单的实现方法是采用离散傅里叶变换(DFT)。但DFT滤波器组中的滤波器在零附近没有零陷,因而无法很好地抑制地杂波,使滤波器组输出的检测性能受到影响。所以,后来人们又在DFT滤波器组之前加了MTI处理,这样可以先用MTI消掉地杂波,再用DFT滤波器组进行滤波处理,由于DFT滤波器组可以用快速傅里叶变换(FFT)实现,所以这种方法又称为MTD的MTI加FFT实现法。因为FFT可以节省很大的运算量,所以这种方法至今仍在大量使用,尤其是MTD滤波器阶数较大时。由于FFT的阶数一定要是2的整数幂,使得MTI加FFT实现法的应用受到了一定的限制,并且DFT滤波器组位于MTT之后,滤波器组的各滤波器增益受到MTT滤波器频率响应的调制。所以目前人们感兴趣的MTD滤波器组实现方法是采用FIR滤波器组。尽管采用FIR滤波器组要比MTT加FFT实现法的运算量大,但由于大规模集成电路和数字信号处理技术的飞速发展,目前FIR滤波器组的实现己不成问题。对于FIR滤波器组来说,可以灵活设计每个滤波器的权系数,使其幅度频率响应都在零频附近有较深的零陷,用于抑制地杂波。

下面来分别讨论一下快速傅里叶变换(FFT)和有限冲激响应(FIR)实现多普勒滤波器组这两种方法。

3.1 加权DFT实现MTD滤波

具有N个输出的横向滤波器(N个重复周期和N-1根延迟线),经过各重复周期的不同加权并求和后,即可实现图2.2所要求的N个相邻的窄带滤波器组。其原理性结构框图如图3.1所示。注意:实际实现中的延迟线是下一章将要介绍的输人缓存器。由于DFT是一种特殊的横向滤波器,所以若将图3.1的加权因子按DFT定义选择,并采用DFT的快速算法FFT,就可实现基于FFT的MTD滤波。

3.1.1 DFT滤波器分析

如图3.1所示,横向滤波器有N-1根迟延线,每根迟延线的迟延时间为。对一串由N个脉冲组成的雷达回波信号作FFT,若考虑矩形窗加权的

图3.1 MTD横向滤波器结构

情况,则第个FFT滤波器的输出端头加权值可表示为

(3-1)

式中,表示第个抽头;表示0到的标记,每一个值对应一组不同的加权值,相应地对应于一个不同的多普勒滤波器响应。这样,N个相互接邻的滤波器即形成一个滤波器组,它覆盖了从0到的频段。

由(3-1)可写出第个FFT滤波器的冲激响应表示为

(3-2)

其傅立叶变换就是频率响应函数

(3-3)

滤波器的幅频特性为

(3-4)

其中表示滤波器号,每个滤波器均有形状相同、中心频率(即取峰值的频率)不同的幅频特性,根据式(3-4)结果,其形状为一主瓣与两侧各个旁瓣的组合。图3.2给出了N=8时DFT等效横向滤波器振幅特性的主瓣。

图3.2 N=8时DFT等效横向滤波器的幅频响应

滤波器的峰值产生于或者,…当=0时,滤波器峰值位置为…,即滤波器的中心位置在零频率以及重复频率的整数倍处,这个滤波器可通过没有多普勒频移的杂波,因此对地杂波没有抑制能力。然而,它的输出在某些MTD雷达中可以作提供杂波地图之用。这个滤波器的第一个零点出现在式(3-4)分子第一次取零值时,即时。在第一对零点之间的频带宽度为,而半功率带宽近似为。

当=1时,峰值响应产生在以及,等等。对=2,峰值响应时的依此类推。因而每一个值决定一个独立的滤波器响应。全部滤波器响应覆盖了从零到的频率范围,由于信号的取样性质,其余的频带按同样的响应周期覆盖,因而会在频率上产生模糊。每个滤波器的形状和=0时的相同,只是滤波器的中心频率不同。图3.2所示的滤波器有时称为相参累积滤波器,因为通过该滤波器后,它将N个相参脉冲累积,使信噪比提高N倍(对白噪声而言)。

3.1.2 窄带滤波器组信号处理的优点

如上所述,由于每个窄带滤波器只占延迟线对消器通频带的大约1/N宽度,因而其输出端的信噪比有相应的提高。对于白噪声,采用窄带滤波器组后信噪比应提高近N倍。对于有色杂波来说,各个滤波器输出端的改善因子亦均有提高(与延迟对消器比较),但提高的程度不及白噪声情形。采用窄带滤波器组所以能较MTI对消器提高改善因子是因为它把频带细分后,各滤波器的杂波输出功率只有各自通带范围内的杂波谱部分,而不是整个多普勒频带内的杂波功率。但要注意到,杂波不仅由各滤波器的主瓣进入,而且未加权的滤波器由于其副瓣值较高(一13.2dB)而副瓣的频率位置又处于强杂波处,这时由副瓣进入的杂波将明显地降低其改善因子。解决的办法可以有两种:一种是在窄带滤波器组前面先采用对消器(一次或二次),将杂波的主要部分滤去,这样后接的滤波器组中通过副瓣进入的杂波明显减少,各滤波器的改善因子会提高。这种方法实际上常用,因为滤去强杂波后,滤波器的动态范围可明显减小,利于技术实现;第二种办法是采用加权法降低各个滤波器的副瓣,同样可以提高改善因子,所付代价是滤波器的主瓣有所加宽。

窄带滤波器组对于运动杂波的抑制效果较好。来自鸟群或气象的运动杂波,其多普勒频率不是零频,普通对消器无法抑制它。如果不止一个运动杂波同时出现,则采用自适应对消抑制也很困难。但这种运动杂波可能出现在窄带滤波器组中的某一滤波器内,而每个滤波器的检测门限可以根据该滤波器内所含噪声和杂波的强弱而适时选定,如杂波强时门限值选高,这样就可以将运动杂波的影响排除,使之不影响出现于其它滤波器内的信号。

3.2 FIR实现MTD多普勒滤波器

由于一般地面雷达的重复频率不高(如1kHz左右,它是无模糊测距所要求的),所以窄带滤波器的数目只需几个或十几个。因此在MTD的许多应用中,无需或者说无需刻意采用FFT算法,而直接采用图3.1所描述的相乘累加运算即可。这一横向滤波器实际上就是一典型的有限冲激响应滤波器(FIR)。20世纪80年代以后大规模集成电路技术的迅速发展带来高精度快速乘法累加器研制成功,使得FIR直接实现(而无需借助FFT等快速算法)多普勒滤波进人实用阶段。FIR作为MTD滤波器的更重要原因是:(1)可根据特殊的要求,采用比加权FFT更有效和更灵活的设计方法得到滤波器特性(如更低的旁瓣);(2)不同频道(即组中的不同滤波器)更容易实现与目标及杂波速度分布相匹配的分别设计或选择控制。因此自适应多普勒滤波中多采用FIR。

下面来探讨一下FIR实现MTD滤波的设计。

3.2.1 设计思路

经典的FIR滤波器的设计方法有窗口法、频率采样法及其它一些采用最佳准则逼近的改进算法等。笼统地讲,最佳FIR滤波器用作多普勒滤波器的设计思路和原则是:

1、在由系统整机要求确定了滤波器个数的前提下,应使分开设计的各滤波器主峰位置及形状在内均匀(或基本均匀)覆盖,以至不丢失处于任何多普勒频率(一般零多普勒除外)的目标;

2、在确定了各个滤波器的理想带通特性后,按某一最佳准则并在所要求的指标(主要是改善因子及相关的主瓣带宽、旁瓣电平及特定处凹口深度等)的限制下使设计的滤波特性逼近;

3、按傅里叶逆变换获得每个滤波器的单位脉冲响应,此即为横向滤波器的加权因子(系数),k对应滤波器号,;n对应不同重复周期,,一般有。

4、用选择的窗函数对进行加窗

(3-5)

5、计算滤波器的频率响应

(3-6)

3.2.2 MTD多普勒滤波器组的设计

在进行多谱勒滤波器组设计之前,我们先来用FIR设计一个滤波器,假设一理想特性的FIR数字滤波器的理想带通特性为

, 其中=8 (3-7)

假设=0,则

(3-8)

根据傅立叶变换可以得出其单位冲激响应为

(3-9)

假如所选择的是矩形窗,则

, (3-10)

其频率响应为

,(3-11)

下图3.3是根据上面的频率响应在matlab软件环境下画出的的频率响应图。

图3.3 滤波器幅频特性〔)

同理,也可以算出k=1,2,3,4,5,6,7时的频率响应,…,,这样组合就可以得到8点多普勒滤波器的频率响应图,如图3.4所示。

图3.4 8点多普勒滤波器的频率响应图

同样,我们也可以画出16点的多普勒滤波器的频率响应图,如图3.5所示。

图3.5 16点多普勒滤波器的频率响应图

从图3.4和图3.5可以看出,随着FFT点数的增多,滤波器的频率分辨率也在增大,也即雷达对速度的分辨率也越高。但是,随着FFT点数的增多,系统的运算量也在增大,这会影响系统的实时性。对FFT点数的选择需要综合系统对速度分辨率和实时性的要求以及雷达一个扫描周期内收到的回波数等因素来决定。

图3.4和图3.5体现出滤波器在全频段内都没有截止特性,这是一个误区,因为上述的任意一幅图都是由很多滤波器组成的,也就是说,8点FFT点数的滤波器是由8个滤波器组成的,16点FFT点数的滤波器是由16个滤波器组成的,同样,32点FFT点数的滤波器是由32个滤波器组成的。在实际的应用过程中,系统把回波信号最强的滤波器的输出作为真实的目标信号。为了说明这个问题。下面分别绘出8点FFT和16点FFT真实工作时的滤波特性。

图3.6 8点多普勒滤波器的频率响应图()

图3.7 16点多普勒滤波器的频率响应图()

从上述单个FFT滤波器的特性看,不论是选择几号(K)FFT滤波器,都对相应通带以外的杂波或噪声有一定的抑制作用。特别需要指出的是任意点数的FFT滤波器的0号滤波器,从上面的分析可以看出,0号滤波器是一个低通滤波器,对杂波没有抑制作用,一般将0号滤波器的输出作杂波图处理。

以上我们对多普勒滤波器组的设计做了研究,下面我们来看一看MTD雷达的性能评价指标

3.3 MTD/MTI雷达的性能评价指标

评价MTD/MTI处理的工作质量,常用的有以下几种指标。

1、杂波衰减(CA)和对消比(CR)

杂波衰减(CA)定义为输入杂波功率和对消后同一杂波的剩余功率之比,即

(3-12)

有时也用对消比来表示。对消比定义为:对消后的剩余杂波电压与同一杂波未经对消时的电压比值

(3-13)

杂波衰减CA与对消比CR间的关系是

(3-14)

对于某具体雷达而言,可能得到的对消比CR不仅与雷达本身的特性有关(如工作的稳定性、滤波器频率特性等),而且和杂波的性质有关,所以两部MTI雷达只有在同一工作环境下,比较它们的对消比才有意义。

2、改善因子()

改善因子的定义是输出信号杂波功率比和输人信号杂波功率比()之比值,即

(3-15) 与CA的关系是

(3-16)

其中

(3-17)

这里和为在所有可能径向速度上取平均的信号功率,为系统对信号的平均功率增益。之所以要取平均是因为系统对不同的多普勒频率响应不同,而目标的多普勒频率将在很大范围内分布之故。

3、杂波中可见度(SCV)

SCV的定义为:雷达输出端的功率信杂比等于可见度系数时,雷达输人端的功率信杂比。

杂波中可见度是衡量雷达对杂波中目标回波的检测能力的量度。例如杂波中可见度为20dB时,说明在杂波比目标回波强100倍的情况下,雷达可以检测出杂波中的运动目标来,如果杂波强度再大一些该雷达就无能为力了。一个雷达的可见度越大,则它从杂波背景中检测动目标的能力越强。

在用分贝表示时,杂波可见度比改善因子小一个可见度系数

(3-18)

杂波中可见度和改善因子都可用来说明雷达信号处理的杂波抑制能力。但两部杂波中可见度相同的雷达在相同的杂波环境中其工作性能可能有大的差别。因为除了信号处理的能力外,雷达在杂波中检测目标的能力还和其分辨单元大小有关。分辨单元为,其中,为雷达的方位波束宽度和仰角波束宽度,为脉冲宽度(对脉冲压缩雷达,为压缩后的脉宽)。分辨单元越大,也就是雷达分辨力越低,这时进人雷达接收机的杂波功率也越强,为了达到观察到目标时所需信号杂波比,就要求雷达的改善因子()或杂波中可见度进一步提高。

改善因子、对消比、杂波哀减、杂波中可见度是衡量MTT/MTD处理性能常用的质量指标。它们之间有固定的关系,只要知道其一,其它就很容易推算出来。

4 MTD雷达中预处理模块设计

不管是采用何种形式的MTD多普勒滤波器,MTD处理首先面临的问题就是输人序列的存储及数据格式和数据率的转换。下面围绕MTD滤波器输入数据的存储这一问题展开论述,首先介绍一下具有代表性的FPGA设计思想和技巧之一:乒乓操作。

4.1 乒乓操作

4.1.1 乒乓操作的处理流程

“乒乓操作”是一个常常应用于数据流控制的处理技巧,典型的乒乓操作方法如图4.1所示。

图4.1 乒乓操作示意图

输入数据流通过“输入数据选择单元”将数据流等时分配到两个数据缓冲区,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(DPRAM)、单口RAM(SPRAM)、FIFO等。

在第一个缓冲周期,将输入的数据流缓存到“数据缓冲模块1”;在第2个缓冲周期,通过“输入数据选择单元”的切换,将输入的数据流缓存到“数据缓冲模块2”,同时将“数据缓冲模块1”缓存的第1个周期数据通过“输出数据选择单元”的选择,送到“数据流运算处理模块”进行运算处理;在第3个缓冲周期通过“输入数据选择单元”的再次切换,将输入的数据流缓存到“数据缓冲模块1”,同时将“数据缓冲模块2”缓存的第2个周期的数据通过“输入数据选择单元”切换,送到“数据流运算处理模块”进行运算处理……,如此循环操作。

4.1.2 乒乓操作的特点

1、乒乓操作的最大特点是通过“输入数据选择单元”和“输出数据选择单元”按节拍、相互配合的切换,将经过缓冲的数据流没有停顿地送到“数据流运算处理模块”进行运算与处理。把乒乓操作模块当作一个整体,站在这个模块的两端看数据,输入数据流和输出数据流都是连续不断的,没有任何停顿,因此非常适合对数据流进行流水线式处理。所以乒乓操作常常应用于流水线式算法,完成数据的无缝缓冲与处理。

2、乒乓操作的第二个优点是可以节约缓冲区空间。比如在WCDMA基带应用中,1个帧是由15个时隙组成的,有时需要将1整帧的数据延时一个时隙后处理。直接的办法是将这帧数据缓存起来,然后延时1个时隙进行处理。这时缓冲区的长度是1整帧数据长,假设数据速率是3.84Mbps,1帧长10ms,则此时需要缓冲区长度是38400位。如果采用乒乓操作,由于它能将数据流无时间停顿的送到“数据流运算单元”处理,因此我们只需定义两个能缓冲1个时隙数据的RAM(单口RAM即可)。当向一块RAM写数据的时候,从另一块RAM读数据,然后送到处理单元处理,此时每块RAM的容量仅需38400/15=2560位即可,2块RAM加起来也只有5120位的容量。

3、另外,巧妙运用乒乓操作还可以达到用低速模块处理高速数据流的效果。如图2所示,数据缓冲模块采用了双口RAM,并在DPRAM后引入了一级数据预处理模块,这个数据预处理可以根据需要的各种数据运算,比如在WCDMA设计中,对输入数据流的解扩、解扰、去旋转等。假设端口A的输入数据流的速率为100Mbps,乒乓操作的缓冲周期是10ms。以下分析各个节点端口的数据速率。

图2采用双口RAM,并在DPRAM后引入一级数据预处理模块实现用低速模块处理高速数据流

A端口处输入数据流速率为100Mbps,在第1个缓冲周期10ms内,通过“输入数据选择单元”,从B1到达DPRAM1。B1的数据速率也是100Mbps,DPRAM1要在10ms内写入1Mb数据。同理,在第2个10ms,数据流被切换到DPRAM2,端口B2的数据速率也是100Mbps,DPRAM2在第2个10ms被写入1Mb数据。在第3个10ms,数据流又切换到DPRAM1,DPRAM1被写入1Mb数据,如此循环。

仔细分析就会发现到第3个缓冲周期时,留给DPRAM1读取数据并送到“数据预处理模块1”的时间一共是20ms。有人困惑于DPRAM1的读数时间为什么是20ms,这个时间是这样得来的:首先,在第2个缓冲周期向DPRAM2写数据的10ms内,DPRAM1可以进行读操作;另外,在第1个缓冲周期的第5ms起(绝对时间为5ms时刻),DPRAM1就可以一边向500K以后的地址写数据,一边从地址0读数,到达10ms时,DPRAM1刚好写完了1Mb数据,并且读了500K数据,这个缓冲时间内DPRAM1读了5ms;在第3个缓冲周期的第5ms起(绝对时间为35ms时刻),同理可以一边向500K以后的地址写数据一边从地址0读数,又读取了5个ms,所以截止DPRAM1第一个周期存入的数据被完全覆盖以前,DPRAM1最多可以读取20ms时间,而所需读取的数据为1Mb,所以端口C1的数据速率为:1Mb/20ms=50Mbps。因此,“数据预处理模块1”的最低数据吞吐能力也仅仅要求为50Mbps。同理,“数据预处理模块2”的最低数据吞吐能力也仅仅要求为50Mbps。换言之,通过乒乓操作,“数据预处理模块”的时序压力减轻了,所要求的数据处理速率仅仅为输入数据速率的1/2。

4.1.3 乒乓操作的应用

以上对乒乓操作的基本思想做了介绍,下面是一个本课题设计中与乒乓操作及其相关的实例。

图4.3 MTD输入缓存器结构及其读/写顺序

在MTD处理中,来自零中频正交采样的I,Q复序列是按先不同距离单元、再不同扫掠(重复周期Tr)的顺序输入的,而MTD处理是对同一距离单元的相邻若干次扫掠内的信号进行频谱分析(或频域滤波),且所需处理的通常不是某一个或某一部分距离单元,而是作用距离的全程。因此在I/R采样与MTD滤波器之间必须要有一特殊的接口电路,来完成序列的暂存与格式转换。这一电路一般称为MTD输入缓存器,其工作方式(即写入/读出方式)称为正交存取方式,图4.3示出其存储空间分布及写人/读出顺序。有了这一输人缓存电路后,MTD就可依次对每一距离单元的N点数据进行多普勒滤波,为保证对全程内每个距离单元的滤波能在NTr(即相参处理间隔CPI)内完成,输人缓存的读出速率(即滤波器的处理速度)一般可比其写人速率(即距离单元采样速率)快些。在实际实现中,一般需要两组结构相同的输人缓存电路兵乓交替读写,以保证在不丢失任何扫掠数据的前提下进行MTD流水式滤波处理。且每一组均有两套结构相同的存储电路,以分别同时缓存同相和正交数据。

4.2 MTD雷达匹配滤波器的总体结构

在进行预处理乒乓操作的设计之前先介绍一下MTD雷达匹配滤波器的结构。

图4.4 MTD匹配滤波器组成框图

MTD是通过匹配滤波器实现的,根据MTD匹配滤波器的性能要求,结合集成电路的特点,匹配滤波器设计为由两个集成电路芯片组成,它们分别计算滤波器输出的实部和虚部,如右图4.4所示。

图中计算实部和计算虚部的FIR滤波器IC是相同的集成电路,只是外部设置不同而已。这种实、虚部平行运算的安排可使每个芯片的运算速度降低一倍,从而为MTD系统实现高速、实时处理创造了有利的条件。

这样的FIR滤波器集成电路结构如图4.5所示。

图4.5所示的集成电路结构具有以下一些特点:

1、 采用单乘法累加器

匹配滤波器采用图4.4形式后,对乘法累加器的速度要求降低了一倍,即降为105MHz,这是当前集成电路工艺条件下采取适当电路措施后完全能达到的乘法累加器速度。采用单个乘法累加器,降低了集成电路规模,有利于加工制造。

2、 集成了高速系数RAM

105MHz的高速乘法累加也意味着高速的数据传输和取数操作,与乘法累加

图4.5 MTD用FIR滤波器集成电路结构

器(MAC)打交道的RAM必须达到105MHz的高速度。为此将它集成在片内对提高速度是十分有利的。滤波器共有88=64个复数系数,相当于128个实数,每个实数有10位。所以,总的系数RAM有12810位。

3、数据RAM采取了片内小规模乒乓RAM形式

这是充分利用了MTD滤波器的特点而采取的RAM形式。根据MTD的工作原理,只有雷达接收机采集到8k个复数数据(16k个实数数据)后,匹配滤波器才能计算第一个输出结果。也就是说,存放数据的RAM至少有16k10位那么大。这样规模的RAM要集成到片内并要以105MHz以上的速度工作,这是不容易实现的。考虑到MTD匹配滤波器是8个滤波器,并且它们的输出是依次、分别计算的(采用单个乘法累加器的情形),相同的输人数据要被8个滤波器依次重复使用。所以,实际上只有8个数据(复数)以105MHz的高速度循环送人MAC以得到8个滤波器的输出。其余大量的数据并不需要高速传送。根据这一特点,把数据RAM分成两部分:片内RAM和片外RAM,把少量高速传送的数据存放于片内RAM,大量低速传送的数据存放于片外RAM,这样,滤波器取输人数据的过程分两步完成。第一步把需要的8个复数存人片内RAM,第二步再从片内RAM高速取出送MAC。为了能有时间准备好片内RAM的数据,片内RAM采取了乒乓RAM形式,如图4.5所示。片内RAM分为RAM1和RAM2两部分。当RAM1高速读出数据时,RAM2则以较低的速度从片外RAM取进数据。反之,当RAM2高速读出数据时,RAM1则以低速取进数据。这一过程交替进行。

采用片内乒乓RAM后,对片外RAM的速度要求降低到片内RAM的1/8,即13.1 MHz。

4.3 MTD雷达中预处理模块设计

以上对MTD匹配滤波器的总体结构进行了分析,丛中可以发现数据RAM采取了片内小规模乒乓RAM形式,下面是我对MTD雷达中预处理乒乓操作的设计过程。

4.3.1 多路选择器的设计

由上面的分析可以知道,假设所设计的多普勒滤波器组由8个滤波器组成,则滤波器共有88=64个复数系数,相当于128个实数,而每个实数有10位。所以,总的系数RAM为12810位。所以可以设计一个多路选择器对数据的传输进行选择,根据每个实数有10位可确定它的传输数据为10位。根据数字电路的原理,可以设计出一个多路选择器,下面是我在QuartusⅡ软件环境下编写的对此多路选择器模块的VDHL源程序。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity mux is

port( clk:in std_logic;

indata:in std_logic_vector(9 downto 0);

wren:buffer std_logic;

outdata1:out std_logic_vector(9 downto 0);

outdata2:out std_logic_vector(9 downto 0)

);

end mux;

architecture mux_architecture of mux is

展开阅读全文

你可能感兴趣的:(用matlab画多普勒加宽线性函数,MTD雷达中多普勒滤波器组的设计与实现)