Quartu编写D触发器

D触发器

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。D触发器构成了移位寄存器的基础,而移位寄存器是许多电子设备的基本部分。
触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。
D触发器有集成触发器和门电路组成的触发器。触发方式有电平触发边沿触发两种,前者在CP(时钟脉冲)=1时即可触发,后者多在CP的前沿(正跳变0→1)触发。
D触发器的次态取决于触发前D端的状态,即次态=D。因此,它具有置0、置1两种功能。
对于边沿D触发器,由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。
D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等等。
公式
在这里插入图片描述
功能表
Quartu编写D触发器_第1张图片
时序图
Quartu编写D触发器_第2张图片

1、在Quartus中用门电路设计一个D触发器,并进行仿真,时序波形验证

1、创建工程,设置工程的存储位置和项目名称,选择目标芯片
Quartu编写D触发器_第3张图片
Quartu编写D触发器_第4张图片
2、新建原理图文件
选菜单“File”一“New”,在弹出的“New-”对话框中选择“ Design Files” 的原理图文件编辑输入项“Block block diagram/schematic File"按"OK"后将打开原理图编辑窗。
Quartu编写D触发器_第5张图片
点击按纽“ Symbol Tool”或直接双击原理图空白处,从“ Symbol”窗中选择需要的符号,或者直接在“name”文本框中键入元件名
Quartu编写D触发器_第6张图片4 个 nand2 与非门,1 个 not 非门,2个输入管脚和2个输出管脚,并连线,最终如下图Quartu编写D触发器_第7张图片
3、保存之后进行编译
Quartu编写D触发器_第8张图片
Quartu编写D触发器_第9张图片
4.仿真波形图
新建波形文件。如上面新建图形文件的方法,从“file”中选择“new”,然后从出现的对话框中选择“university program VWF”。
然后在随后出现的“node finder”对话框中点击“list”按钮,则半加器中所有的输入输出引脚全部出现在对话框左边。再在该界面上点击“>>”,则把左边所有的端口都选择到右边,进入波形,如图。
Quartu编写D触发器_第10张图片
得到如下的波形图
Quartu编写D触发器_第11张图片
编辑输入CLK,产生时钟信号,把输入D随便设置几个低电平和高电平,保存文件,点击功能仿真编译按钮
Quartu编写D触发器_第12张图片
Quartu编写D触发器_第13张图片
仿真结果如下
Quartu编写D触发器_第14张图片

2、在Quartus中直接调用一个D触发器电路,并进行仿真,时序波形验证

创建工程后,原理图文件直接调用D触发器
Quartu编写D触发器_第15张图片
Quartu编写D触发器_第16张图片
查看原理图Quartu编写D触发器_第17张图片
查看时序仿真
Quartu编写D触发器_第18张图片

3、在Quartus中用Verilog语言写一个D触发器电路,进行仿真验证,作比较

创建工程,新建Verilog HDL 文件,编写如下代码:
Quartu编写D触发器_第19张图片
编译
Quartu编写D触发器_第20张图片

仿真波形图,使用modelsim SE进行手动仿真,建立一个项目
Quartu编写D触发器_第21张图片
Quartu编写D触发器_第22张图片
Quartu编写D触发器_第23张图片Quartu编写D触发器_第24张图片

仿真
Quartu编写D触发器_第25张图片
D触发器的基本功能是在复位信号为1的时候,CLK的上升沿会引起Q值的变化。

你可能感兴趣的:(fpga开发)