2 UVM 环境搭建

一,首先安装VCS和VERDI
VCS和VERDI下载与安装 教程

二,我建议在自己的工作目录下面创建一个环境配置文件夹"_env",然后建立我的csh配置文件

#set home
setenv VERDI_HOME '/tool/cbar/apps/verdi/2018.03-SP1'
setenv VCS_HOME '/tool/cbar/apps/vcs/2018.03-SP2-1'
setenv WORK_HOME '/home/username/my_projects'
setenv UVM_HOME '/tool/cbar/apps/vcs-mx/2018.09-SP2-4/etc/uvm-1.2'

#set tool

setenv SIMTOOL 'VCS'

alias gu 'cd /home/username/my_projects/uvm_shizhan'
alias h  'history'

#   if ( $?prompt ) then        # shell is interactive.
stty erase ^H kill ^U intr ^C susp ^Z
set history=500     # previous commands to remember.
set savehist=50     # number to save across sessions.
set system=`hostname`   # name of this system.
unset autologout
set prompt="${system}: %B%~%b \n[ \! ] --> "
set prompt="%m:%/[ \! ] --> "
alias setprompt 'set prompt="${system}:${cwd}[ \! ] --> "'
alias cd 'chdir \!* && setprompt; ls'   # reset prompt after change dir
setprompt               # to set the initial prompt

# Sample alias:

alias   h       'history'
alias   d       'dirs'
alias   a       'alias'
alias   l       'ls -a -C -F'
alias   lc      'ls -a -l -F | less'
alias   ll      'ls -al | less'
alias   j       'jobs -l'
alias   m       'more -c'
alias   ..      'cd ..'
#alias   cd 'cd \!*; ls'
alias   cls     'clear'
alias   bye     'clear; exit'
alias   vi      '/tool/pandora/bin/vim'
alias   g       'gvim'
alias   bootinit "source .cshrc"

#   endif

三,我的makfile,也放到_env文件夹下面,工程文件夹需要的话link过去

.PHONY: clean
clean:
    rm -rf *.conf *Log *.bak *.rc *.fsdb *.daidir *log *key csrc simv *.h;
vcs:
    vcs -sverilog ${UVM_HOME}/src/dpi/uvm_dpi.cc -CFLAGS -DVCS -timescale=1ns/1ps -f filelist.f
    ./simv
verdi:
    verdi -f file.list -ssf test.fsdb

你可能感兴趣的:(2 UVM 环境搭建)