密码锁设计-verilog及仿真

实验目的:
(1)掌握自顶向下(Top-Down)的结构化设计方法;
(2)运用Verilog语言完成数字系统设计,锻炼对具有一定复杂度和规模的问题的分析与求解能力。

实验内容:
实现3位数字的密码锁,每位数字取值1、2、3。
功能说明:
A. 按S1复位进入初始状态,数码管显示00000000。
B. 若已解锁,或未设置密码:按S2设置密码,通过44小键盘输入3位初始密码,
输入满三位后按S5确定,成功后GLD0亮;
C. 若已设置密码:按S3可以验证密码,通过小键盘逐位输入密码,输入满三位
后按S5确定,如果正确则进入解锁状态,累计失败次数清零,不正确则累计失
败次数+1,显示对应数量红灯,若累计3次则系统锁住,数码管显示ffffffff,
所有功能无效(除复位)。
功能说明:
D. 每次进入新状态,数码管清除上个状态的输入,每个状态下,同步显示键盘输
入到数码管,显示方式不限,只需要逐位显示3个数字即可。
E. 密码匹配要求用状态机实现。
使用4
4小键盘
密码锁设计-verilog及仿真_第1张图片

你可能感兴趣的:(D1:verilog设计,fpga开发)