W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806

目录

一、硬件连接

CKLINK J2引脚功能

接线方式

错误提示:

二、调试前准备

正常识别状态:

wm_tool.exe缺失错误​

三、flash配置

增加W806模板

compiler选项卡

Debug选项卡:

ICE设置

正常连接信息

四、调试工程

添加硬断点:

断点配置

仿真调试

下载固件

参考:

《手把手教你使用CKLink 调试W80x》、《CDK中使用CKLINK下载与调试W806教程》。

一、硬件连接

CKLINK J2引脚功能

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第1张图片

 

接线方式

(连线颜色供参考,本例中采用图示颜色):

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第2张图片

注意:CKLINK LITE的3V3须与W806的3V3相连,或者给W806开发板单独供电,两种方式均可。

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第3张图片

否则,会提示“the reference voltage of link, default 3.3v”:

打开flash-configure Flash Tools,

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第4张图片

查看debug中的ICE配置信息,可见:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第5张图片

错误提示:

[ERROR]Fail to check had module of CPU 0, please check:
	1. the physical connection;
	2. the power of the target;
	3. the link clock (link clock <= (CPU clock/2));
	4. the reference voltage of link, default 3.3v;
For more information, please run Debugserver with connect log:
	 Console: run Debugserver with arguments "--debug connect".
	 UI     : select "Connect" from menu "Setting->Verbose Setting".
T-HEAD CKLINK:
              ICE Type: CKLink_Lite_V2
              App Ver : 2.35
              Bit Ver : null
              ICE Clk : 2526.316KHz
5-wire, With DDC, Cache Flush OnSN CKLink_Lite_V2-65D70308D6.
Extra Info: hacr width is 8.
W806的3V3供电解决后,信息提示正常。

W806的3V3供电解决后,信息提示正常。

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第6张图片

如果要同时查看串口打印信息,也可采用开发板直接供电的方式:

(串口打印及调试可同时进行)

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第7张图片

二、调试前准备

CKLinkLite 插入电脑,驱动一般在安装CDK 时已经安装好了。

正常识别状态:

以WIN10系统为例,按win+X,选择设备管理器,可见CKlink已正常识别:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第8张图片

​准备好flash 模板文件(W806_Flash_Tool.elf):

QQ 群文件02 文件夹内下载模板文件,解压后放到类似下图目录:

如:F:\dev\C-Sky\CDK\CSKY\Flash\

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第9张图片

​完成后,正常能在CDK软件中的flash management里看到该文件,已经在模板库中了:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第10张图片

wm_tool.exe缺失错误​

小插曲:用CDK打开CSDK开发包,尝试build时提示如下错误:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第11张图片

从群里找到了wm_tool.exe文件,放在提示目录下,重新build,错误提示消失:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第12张图片

三、flash配置

增加W806模板

打开flash-configure Flash Tools,setting页面点击add,增加W806模板:

Flash子选项卡按如下图进行设置:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第13张图片

compiler选项卡

右击待编译的SDK,选择设置,如果只有1个SDK,打开菜单与前述一致,对编译器的提示等级等参数进行设置。

选取compiler选项卡,设置相应的level,以适应于调试:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第14张图片

Debug选项卡:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第15张图片

ICE设置

点击进入settings,可以看到CKLINK LITE APP Ver为2.35,参考截图进行设置:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第16张图片

正常连接信息

正常连接开发板后的提示信息如下:

T-HEAD CKLINK:
              ICE Type: CKLink_Lite_V2
              App Ver : 2.35
              Bit Ver : null
              ICE Clk : 2526.316KHz
2-wire, With DDC, Cache Flush OnSN CKLink_Lite_V2-65D70308D6.
+--  Debug Arch is CKHAD.  --+
[CPU: 0]+--  CPU 0  --+
[CPU: 0]T-HEAD Xuan Tie CPU Info:
	WORD[0]: 0x04a11453
	WORD[1]: 0x11000000
	WORD[2]: 0x21400417
	WORD[3]: 0x30c00005
Target Chip Info:
	CPU Type is CK804FGT, in LITTLE Endian.
	L1ICache size 16KByte.
	Bus type is AHB32.
	Signoff date is 04/0107.
	HWBKPT number is 5, HWWP number is 2.

四、调试工程

添加硬断点:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第17张图片

断点配置

右击可进行断点配置:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第18张图片

打开advance:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第19张图片

​断点的详细设置:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第20张图片

仿真调试

点击仿真,开始调试:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第21张图片

执行至设定断点处,自动暂停:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第22张图片

下载固件

正常连接,点击download,下载bulid的.fls文件至开发板,Upgrade Tools串口打印正常,显示下载及校验信息:

W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806_第23张图片

你可能感兴趣的:(W80X,W806,XT804,MCU,动态调试,HardPoint)