systemverilog中rand机制的 $urandom_range()函数

        使用SystemVerilog中的rand机制, 经常会用到$urandom_range()这个函数, 得到一个无符号的整型数.

语法:$urandom_range(int unsigned maxval,int unsigned minval = 0);​
有两个参数,一个上限参数和一个可选的下限参数。
功能:返回一个在maxval和minval之间的无符号整数

example:

val = $urandom_range(7,0); //结果是[0,7]
val = $urandom_range(0,7); //如果mixval比minval小,参数列表会自动反向
val = $urandom_range(7); //如果minval没有指定,默认为0


 

你可能感兴趣的:(verilog,FPGA,fpga开发)