实验四 MIPS寄存器文件设计 Logisim

1、实验目的

学生了解寄 MIPS 寄存器文件基本概念,进一步熟悉多路选择器、译码器、解复用器等 Logisim 组件的使用,并利用相关组件构建 MIPS 寄存器文件。

2、实验内容

利用 logisim 平台中构建一个简化的 MIPS 寄存器文件,内部包含 4 个 32 位寄存器,其具体引脚与功能描述如下表
实验四 MIPS寄存器文件设计 Logisim_第1张图片

3、实验步骤

1.了解各个引脚的功能
2.在Logisim平台中利用已经给出的电路框架搭建电路。
3.将搭建好的电路提交到educoder上进行测试。

4、方案设计

1.由于第0号寄存器的值始终为0,所以将常量0用32位数据位宽接到第0号寄存器的输入端。
2.将Din隧道接到 其余三个寄存器的输入端。
3.将CLK接到四个寄存器的时钟接口。
4.用一个解复用器来完成WE对4个寄存器的使能端输入。为了与寄存器使能端位宽对接,解复用器的选择位宽设置为2。
5.使用多路选择器完成寄存器RD1和RD2的输出。RD1和RD2为R1#和R2#的值。多路选择器的选择端接入R1#和R2#,R1#和R2#分别为第1个和第2个读寄存器的编号。

最终电路图如图所示:
实验四 MIPS寄存器文件设计 Logisim_第2张图片

测试与调试

在logisim中用字库测试电路进行测试,测试结果如图所示

实验四 MIPS寄存器文件设计 Logisim_第3张图片

实验四 MIPS寄存器文件设计 Logisim_第4张图片

经过分析和排查,出现这种结果的原因是在实现WE对四个寄存器使能端输入时错误地选择了多路选择器。而正确做法是应选择用解复用器。经过调整最终成功通过测试。
实验四 MIPS寄存器文件设计 Logisim_第5张图片

5、心得体会

本次实验虽然总体难度不高,但是也加深了我对多路选择器、解复用器、译码器等功能的理解。进一步熟悉了这些组件在logisim中的使用。虽然实验过程中出现了小小的错误,但是也能经过简单排查将错误排除。最终成功实现简单的MIPS寄存器文件设计。

你可能感兴趣的:(计算机组成原理,经验分享)