VCS和Verdi联合仿真的Makefile脚本编写

Makefile脚本内容:

all: listfile com sim verdi clean

listfile:
	find -name "*.sv" > filelist.f

com:
	vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -sverilog -debug_access -timescale=1ns/10ps \
	-f filelist.f -l com.log -kdb -lca 

sim:
	./simv -l sim.log


verdi:
	verdi -f filelist.f -ssf *.fsdb -nologo &

clean:
	rm -rf csrc *.log *.key *simv* *.vpd *DVE*
	rm -rf verdiLog *.fsdb *.bak *.conf *.rc *.f

makefile脚本编写如上所示。其中,-cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed是必要的,否则会报错,具体可参见文章链接。
在上面的makefile脚本中,我们可以通过make listfile命令生成filelist.f,该文件记录了所有参与仿真的RTL文件的路径,make com命令用于编译RTL代码,make sim命令用于运行仿真,make verdi则用于调用verdi工具显示波形,最后,make clean命令用于对过程中所产生的文件进行清除。
整个过程如下所示:

  • make listfile
    在这里插入图片描述

  • make com
    VCS和Verdi联合仿真的Makefile脚本编写_第1张图片

  • make sim
    VCS和Verdi联合仿真的Makefile脚本编写_第2张图片

  • make verdi并添加波形
    VCS和Verdi联合仿真的Makefile脚本编写_第3张图片

  • make clean
    VCS和Verdi联合仿真的Makefile脚本编写_第4张图片

你可能感兴趣的:(数字IC设计,VCS,Verdi,IC)