VL10 使用函数实现数据大小端转换

image.png
`timescale 1ns/1ns
module function_mod(
    input clk,
    input rst_n,
    input [3:0]a,
    input [3:0]b,
    
    output [3:0]c,
    output [3:0]d
);
    assign c = data_rev(a);
    assign d = data_rev(b);
    
    
    function [3:0] data_rev;
        input [3:0] data_in;
        begin
            data_rev[0] = data_in[3];
            data_rev[1] = data_in[2];
            data_rev[2] = data_in[1];
            data_rev[3] = data_in[0];
        end
    endfunction
   
endmodule

不太懂设计里为什么非要带上clk,rst_n 信号。


image.png

你可能感兴趣的:(VL10 使用函数实现数据大小端转换)