SV---动态数组

1.动态数组的声明:使用空的下标[ ],是在程序运行时给定的位宽,所以带调用时用new操作符来分配空间,同时在方括号中传递数组宽度;可以把数组名传递给new[ ],并把已有的数组的值复制到新数组里;

//动态数组
module test;
int dyn[],d2[];
initial begin
	dyn=new[5];
	foreach(dyn[i]) 
		dyn[i] = i;	
	$display(

你可能感兴趣的:(systemverilog)