hdlbits系列verilog解答(100位加法器)-42

文章目录

    • 一、问题描述
    • 二、verilog源码
    • 三、仿真结果


一、问题描述

通过实例化 100 个完整加法器来创建一个 100 位二进制纹波进位加法器。加法器将两个 100 位数字和一个进位相加,以产生一个 100 位的总和并执行。为了鼓励您实际实例化全加法器,还要在纹波进位加法器中输出每个全加法器的进位。cout[99] 是最后一个完整加法器的最终进位,也是您通常看到的进位。

Module Declaration
module top_module(
input [99:0] a, b,
input cin,
output [99:0] cout,
output [99:0] sum );


二、verilog源码

module top_module( 
    input [99:0] a,

你可能感兴趣的:(verilog语言,fpga开发)