初学者——半加器

       加法器是数字电路中经常用到的一种基本器件,主要用于两个数或者多个数的加和,加法器又分为半加器(half adder)和全加器(full adder)。

       半加器电路是指对两个输入数据位相加,输出一个结果位和进位,没有进位输入的加法器电路。是实现两个一位二进制数的加法运算电路

       全加器可以由两个半加器组合而成,除了加数和被加数加和外还要加上上一级传进来的进位信号。

       定义FPGA开发板上的按键key1,key2为两个加数,使用两个LED灯为输出,其中LED1为为加数求和的输出,LED2为进位输出。assign{count,sum}=in1+in2  编写仿真代码,在modelsim里面得到仿真波形图:

  

初学者——半加器_第1张图片

            取任意一点值验证,与预期结果相吻合,仿真通过。

你可能感兴趣的:(FPGA学习,fpga开发)